Planarization By Etching And Coating Patents (Class 438/697)
  • Patent number: 6649514
    Abstract: An EEPROM device having improved data retention and process for fabricating the device includes a two-step deposition process for the fabrication of an ILD layer overlying the high voltage elements of an EEPROM memory cell. The ILD layer is fabricated by first depositing an insulating layer on a high voltage device layer and thermally treating insulating layer. A second insulating layer is then deposited to overlie the first insulating layer. An EEPROM device in accordance with the invention includes a floating-gate transistor having a specified threshold voltage. A thermally-treated, boron-doped oxide layer overlies the floating-gate transistor and a second oxide layer overlies the thermally-treated, boron-doped oxide layer. The memory device exhibits data retention characteristics, such that upon subjecting the device to a baked temperature of at least about 250° C. for at least about 360 hours, the threshold voltage of the floating-gate transistor shifts by no more than about 100 mV.
    Type: Grant
    Filed: September 6, 2002
    Date of Patent: November 18, 2003
    Assignee: Lattice Semiconductor Corporation
    Inventors: Chun Jiang, Sunil D. Mehta
  • Patent number: 6645865
    Abstract: Methods, apparatuses and substrate assembly structures for mechanical and chemical-mechanical planarizing processes used in the manufacturing microelectronic-device substrate assemblies. One aspect of the invention is directed toward a method for planarizing a microelectronic-device substrate assembly by removing material from a surface of the substrate assembly, detecting a first change in drag force between the substrate assembly and a polishing pad indicating that the substrate surface is planar, and identifying a second change in drag force between the substrate assembly and the polishing pad indicating that the planar substrate surface is at the endpoint elevation. After the second change in drag force is identified, the planarization process is stopped.
    Type: Grant
    Filed: October 30, 2002
    Date of Patent: November 11, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Karl M. Robinson, Pai Pan
  • Publication number: 20030203515
    Abstract: A method for reducing preferential chemical mechanical polishing (CMP) of a silicon oxide filled shallow trench isolation (STI) feature during an STI formation process including providing a semiconductor wafer having a process surface including active areas for forming semiconductor devices thereon; forming a silicon oxynitride layer over the process surface for photolithographically patterning STI trenches around the active areas; photolithographically patterning STI trenches around the active areas for anisotropic etching; anisotropically etching the STI trenches extending through the silicon oxynitride layer into the semiconductor wafer; depositing a silicon oxide layer over the silicon oxynitride layer to include filling the STI trenches; and, performing a CMP process to remove the silicon oxide layer overlying the silicon oxynitride layer to reveal an upper surface of the silicon oxynitride layer.
    Type: Application
    Filed: April 29, 2002
    Publication date: October 30, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Keng-Chu Lin, Chih-Ta Wu
  • Patent number: 6635546
    Abstract: A method of manufacturing an offset MRAM device (110), including utilizing two resist layers either to pattern a magnetic stack layer to form offset conductive lines (158) and magnetic memory cells (160), or to pattern an insulating layer to form vias (168) to expose magnetic memory cells and trenches (170) for conductive lines, or both, using a single etch process.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: October 21, 2003
    Assignee: Infineon Technologies AG
    Inventor: X. J. Ning
  • Patent number: 6635574
    Abstract: Methods of oxidizing the surface of a photoresist material on a semiconductor substrate to alter the photoresist material surface to be substantially hydrophillic. Oxidation of the photoresist material surface substantially reduces or eliminates stiction between a planarizing pad and the photoresist material surface during chemical mechanical planarization. This oxidation of the photoresist material may be achieved by oxygen plasma etching or ashing, by immersing the semiconductor substrate in a bath containing an oxidizing agent, or by the addition an oxidizing agent to the chemical slurry used during planarization of the resist material.
    Type: Grant
    Filed: January 23, 2001
    Date of Patent: October 21, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Guy F. Hudson, Michael A. Walker
  • Patent number: 6635575
    Abstract: A method for providing a dielectric film having enhanced adhesion and stability. Pre-deposition, post deposition and post cure treatments enhance adhesion of the dielectric film to an underlying substrate and overlying cap layer. The enhanced film is particularly useful as an intermetal or premetal dielectric layer in an integrated circuit. A pre-deposition treatment process with atomic hydrogen enhances film adhesion by reducing weakly bound oxides on the surface of the substrate. A post-deposition densification process in a reducing atmosphere enhances stability if the film is to be cured ex-situ. In a preferred embodiment, the layer a low dielectric constant film deposited from a process gas of ozone and an organosilane precursor having at least one silicon-carbon (Si—C) bond.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: October 21, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Frederic Gaillard, Ellie Yieh, Tian H. Lim
  • Patent number: 6633084
    Abstract: The present invention is a semiconductor wafer, and a method of fabricating the semiconductor wafer, that reduces dishing over large area features in chemical-mechanical polishing processes. The semiconductor wafer has a substrate with an upper surface, a large area feature formed on the substrate, and a separation layer deposited on the substrate. The separation layer has a top surface and a cavity extending from the top surface towards the upper surface of the substrate. The large area feature is positioned in the cavity of the separation layer, and a support pillar is positioned in the cavity. In one embodiment, the pillar has a base positioned between components of the large area feature and a crown positioned proximate to a plane defined by the top surface of the separation layer. In operation, the pillar substantially prevents the polishing pad of a polishing machine from penetrating into the cavity beyond the top surface of the separation layer.
    Type: Grant
    Filed: November 12, 1999
    Date of Patent: October 14, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Chris Chang Yu
  • Patent number: 6633427
    Abstract: A photonic crystal is formed on a semiconductor substrate using a semiconductor-based fabrication process by forming a number of alternating layers of material that have different dielectric constants. The layers of material are then etched to form a number of spaced-apart stacks of alternating layers of material. An interstack material is then formed between the stacks.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: October 14, 2003
    Assignee: National Semiconductor Corporation
    Inventor: Waclaw C. Koscielniak
  • Patent number: 6627551
    Abstract: This invention discloses a method for avoiding microscratch in interlevel dielectric layer chemical mechanical polishing process. There is step height difference on surface of the interlevel dielectric layer between the memory array and the logic device, so, the interlevel dielectric layer over the memory array is etched to form a sidewall and a corner. As a key step of this invention, a dielectric layer is capped over the interlevel dielectric layer to smooth the corner and avoid microscratch thereon when performing chemical mechanical polishing process.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: September 30, 2003
    Assignee: United Microelectronics Corp.
    Inventor: Wei-Wu Liao
  • Patent number: 6624076
    Abstract: First, a pattern of electrodes or interconnects is formed on a semiconductor substrate. Next, a first insulating film, which will be dry-etched at a relatively high rate and exhibit relatively high planarity, is deposited over the substrate as well as over the pattern. Subsequently, a second insulating film, which will be dry-etched at a relatively low rate and exhibit relatively low planarity, is deposited over the first insulating film. Thereafter, a multilayer structure, including a ferroelectric film, is formed on the second insulating film and then dry-etched and patterned, thereby forming an electronic device out of the multilayer structure.
    Type: Grant
    Filed: September 29, 2000
    Date of Patent: September 23, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Toyoji Ito
  • Publication number: 20030170994
    Abstract: Within a method for fabricating a semiconductor integrated circuit microelectronic fabrication, there is employed a planarizing method for forming, in a self aligned fashion, a patterned second gate electrode material layer laterally adjacent but not over a patterned first gate electrode material layer, such that upon further patterning of the patterned first gate electrode material layer and the patterned second gate electrode material layer there may be formed a first gate electrode over a first active region of a semiconductor substrate and a second gate electrode over a laterally adjacent second active region of the semiconductor substrate. The method is particularly useful within the context of complementary metal oxide semiconductor (CMOS) semiconductor integrated circuit microelectronic fabrications.
    Type: Application
    Filed: March 8, 2002
    Publication date: September 11, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yo-Sheng Lin, Yi-Ming Shen, Da-Wen Lin, Chi-Hsun Hsieh
  • Patent number: 6617241
    Abstract: Planarization of the top surfaces of layers that are more than about a micron thick is beset with problems not encountered in thinner layers. These problems have been overcome by means of a process that, initially allows the formation of ‘horns’ in the surface that is to be planarized. Said horns are then selectively etched away while other parts of the surface are protected, following which CMP is initiated and the surface gets planarized. A total of four embodiments are disclosed.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: September 9, 2003
    Assignee: Institute of Microelectronics
    Inventor: My The Doan
  • Patent number: 6613675
    Abstract: Methods, apparatuses and substrate assembly structures for mechanical and chemical-mechanical planarizing processes used in the manufacturing microelectronic-device substrate assemblies. One aspect of the invention is directed toward a method for planarizing a microelectronic-device substrate assembly by removing material from a surface of the substrate assembly, detecting a first change in drag force between the substrate assembly and a polishing pad indicating that the substrate surface is planar, and identifying a second change in drag force between the substrate assembly and the polishing pad indicating that the planar substrate surface is at the endpoint elevation. After the second change in drag force is identified, the planarization process is stopped.
    Type: Grant
    Filed: February 20, 2001
    Date of Patent: September 2, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Karl M. Robinson, Pai Pan
  • Patent number: 6613677
    Abstract: A semiconductor processing method capable of producing highly ordered, ultra thin dielectrics, including gate oxide and other semiconductor dielectrics, and interphase phases with low defect density. The process includes a degrease step, an etch, primary oxidation and then a passivation step which utilizes hydrofluoric acid to passivate the cleaned silicon surface with hydrogen. Dielectric layers may then be formed with low interface defect density, low flat band voltages and low fixed charge on semiconductor substrates.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: September 2, 2003
    Assignee: Arizona Board of Regents
    Inventors: Nicole Herbots, Vasudeva P. Atluri, James D. Bradley, Banerjee Swati, Quinton B. Hurst, Jiong Xiang
  • Patent number: 6610603
    Abstract: In order to prevent a capacitance element from suffering fluctuation in the capacitance value and deterioration of the reliability caused in the step for planarizing the surface of the substrate after forming the capacitance element, there is provided a process for fabricating a semiconductor device, in which an insulator is formed on a semiconductor substrate; a first wiring layer to be a lower portion electrode; a Ta2O5 layer to be a dielectric film; a second wiring layer to be an upper portion electrode are successively formed; a pattern for the dielectric film and upper portion electrode is formed; a pattern for the lower portion electrode is subsequently formed; an SiN film is formed as a protective film; and planarization is conducted by etching back a spin on glass (SOG).
    Type: Grant
    Filed: June 8, 2001
    Date of Patent: August 26, 2003
    Assignee: Sony Corporation
    Inventor: Hirokazu Ejiri
  • Patent number: 6605546
    Abstract: A method for forming a semiconductor device comprises forming a first layer over a semiconductor substrate. At least one hole is formed through the first layer. A bottom anti-reflective coating (BARC) layer is formed in the at least one hole. A first heating is performed to heat the BARC layer to a flow temperature. A second heating is performed to heat the BARC layer to a hardening temperature so that the BARC layer hardens, wherein the hardening temperature is greater than the flow temperature. An etch is performed to form a trench in the first layer and over the at least one hole, wherein the hardened BARC layer in the at least one hole acts as an etch resistant layer during the etch. As an alternative to the second heating step, the BARC may be simply hardened. The first and second heating may be performed within a heating chamber without removing the semiconductor substrate.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: August 12, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ramkumar Subramanian, Wolfram Grundke, Bhanwar Singh, Christopher F. Lyons, Marina V. Plat
  • Patent number: 6605537
    Abstract: A method of polishing a semiconductor substrate by adjusting the polishing composition with a BTA concentration that raises the metal removal rate when polishing at a relatively high polishing pressure, and that minimizes the metal removal rate when polishing metal in trough at a lower polishing pressure; and adjusting the polishing pressure on metal in each trough to a level that removes metal from trough at a minimized removal rate, while simultaneously polishing the excess metal with a higher polishing pressure.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: August 12, 2003
    Assignee: Rodel Holdings, Inc.
    Inventors: Jinru Bian, Tirthankar Ghosh, Terence M. Thomas
  • Publication number: 20030139053
    Abstract: Systems and methods to operate upon a nonplanar top surface of a conductive surface layer of a workpiece, so as to, for example, preserve the structural integrity of a dielectric film layer disposed below the conductive surface layer, are presented. According to an exemplary method, a layer of conducting material such as a conducting paste is applied over the nonplanar top surface of the conductive surface layer to obtain a planar top surface. At least a portion of the conducting material layer and at least a portion of the conductive surface layer are removed in a planar manner to at least partially planarize the nonplanar top surface. The conducting material layer may be annealed so that the conducting material layer diffuses with the conductive surface layer prior to removal of at least the portions of conducting material layer and the conductive surface layer.
    Type: Application
    Filed: December 21, 2001
    Publication date: July 24, 2003
    Inventors: Cyprian E. Uzoh, Bulent M. Basol, Homayoun Talieh
  • Patent number: 6593241
    Abstract: A method for planarizing a layer of material on a semiconductor device is disclosed, which planarizes a layer on a semiconductor device using a high density plasma system, and uses a sacrificial layer having a desirable etch to deposition rate. Additionally, the method for planarizing a layer can be easily incorporated into the semiconductor fabrication process, and is capable of achieving both local and global planarization.
    Type: Grant
    Filed: May 11, 1998
    Date of Patent: July 15, 2003
    Assignee: Applied Materials Inc.
    Inventors: Thomas Abraham, James Allan Bondur, James Paul Garcia
  • Patent number: 6589875
    Abstract: In one illustrative embodiment, the method includes providing a wafer including at least one non-production area, forming a process layer above the wafer, forming a masking layer above the process layer, the masking layer being patterned so as to expose a portion of the process layer formed above the at least one non-production area, and performing a process operation on the exposed portion of the process layer formed above the at least one non-production area. In another aspect, the present invention is directed to a system that includes a controller for identifying at least one non-production area of a wafer, a photolithography tool for forming a masking layer above the process layer, the masking layer being patterned so as to expose a portion of the process layer formed above the at least one non-production area, and an etch tool for performing an etching process on the exposed portion of the process layer formed above the at least one non-production area.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: July 8, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christopher A. Bode, Alexander J. Pasadyn
  • Publication number: 20030124861
    Abstract: A chemical mechanical polishing (CMP) slurry for applying onto a complex structure consisting of two or more among a metal film, a nitride film and an oxide film and a method for manufacturing a metal line contact plug of a semiconductor device using the slurry. During a CMP process to form a metal line contact plug, an acidic CMP slurry having similar polishing speeds of metal films, oxide films and nitride films and not containing an oxidizer is used. As a result, a metal line contact plug can be easily separated using an acidic CMP slurry without any oxidizer.
    Type: Application
    Filed: December 26, 2002
    Publication date: July 3, 2003
    Inventors: Pan Ki Kwon, Sang Ick Lee
  • Publication number: 20030124867
    Abstract: A solution for ruthenium chemical mechanical planarization containing a nitric acid and an oxidizer is disclosed. A method of forming ruthenium pattern using a polished ruthenium layer is also disclosed. The disclosed solution improves the polishing speed of ruthenium under low polishing pressure, reduces the dishing of ruthenium and decreases scratches generated in the interlayer insulating film. As a result, the disclosed solution and methods improve the techniques for device isolation and reduction of step coverage.
    Type: Application
    Filed: November 5, 2002
    Publication date: July 3, 2003
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Woo Jin Lee
  • Publication number: 20030119321
    Abstract: A planarization method includes providing a second and/or third Group VIII metal-containing surface (preferably, a platinum-containing surface) and positioning it for contact with a polishing surface in the presence of a planarization composition that includes an oxidizing gas.
    Type: Application
    Filed: December 21, 2001
    Publication date: June 26, 2003
    Applicant: Micron Technology, Inc.
    Inventors: Stefan Uhlenbrock, Don Westmoreland
  • Patent number: 6583060
    Abstract: A dual depth trench isolation structure formed between active devices and conductive well regions of same conductivity type which comprises a first inter-well isolation structure having a first isolation trench depth, a second inter-well isolation structure having a second isolation trench depth which combine to form a dual depth trench containing the dual depth trench isolation structure comprising the first inter-well isolation structure and the second inter-well isolation structure, with the dual depth trench isolation interposed at the boundary of an n-well conductive region and a p-well conductive region, a first intra-well isolation structure having a first isolation trench depth, the first intra-well isolation structure interposed between a pair of p-channel transistors residing in the n-well region, and a second intra-well isolation structure having a second isolation trench depth, the second intra-well isolation structure interposed between a pair of n-channel transistors residing in the p-well regio
    Type: Grant
    Filed: July 13, 2001
    Date of Patent: June 24, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Jigish Trivedi
  • Publication number: 20030114009
    Abstract: Disclosed is apparatus and method for fabricating semiconductor devices, in particular comprising a wafer chuck for holding a semiconductor wafer on which a predetermined thin layer is deposited; a processing chamber for injecting etching gas toward the wafer to form a predetermined pattern; and a clamp or a shadow ring provided on an edge of the wafer being held by the wafer chuck and preventing the edge from being etched, and thereby forming a protective step around the edge. Therefore, during a subsequent CMP process, the pattern adjacent to the edge of the wafer can be prevented from being over-polished, and reliability as well as productivity of the semiconductor devices can be improved.
    Type: Application
    Filed: November 27, 2002
    Publication date: June 19, 2003
    Inventors: Chang Gyu Kim, Wan Shick Kim
  • Publication number: 20030104700
    Abstract: A new class of processes suited to the fabrication of layered material compositions is disclosed. Layered material compositions are typically three-dimensional structures which can be decomposed into a stack of structured layers. The best known examples are the photonic lattices. The present invention combines the characteristic features of photolithography and chemical-mechanical polishing to permit the direct and facile fabrication of, e.g., photonic lattices having photonic bandgaps in the 0.1-20 &mgr; spectral range.
    Type: Application
    Filed: August 28, 2001
    Publication date: June 5, 2003
    Inventors: James G. Fleming, Shawn-Yu Lin
  • Patent number: 6562713
    Abstract: Disclosed is a method of protecting semiconductor areas while exposing a gate for processing on a semiconductor surface, the method comprising depositing a planarizing high density plasma layer of a silicon compound, selected from the group silicon oxide and silicon nitride, in a manner effective in leaving an upper surface of said gate exposed. Also disclosed is a method of processing short gates while protecting long gates on a semiconductor surface, the method comprising depositing a planarizing layer of a silicon compound, selected from the group silicon nitride and silicon oxide, up to substantially the same height as said gates, and processing said semiconductor surface.
    Type: Grant
    Filed: February 19, 2002
    Date of Patent: May 13, 2003
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Omer H. Dokumaci, Bruce B. Doris, Hussein I. Hanafi
  • Publication number: 20030087459
    Abstract: A system and method for determining endpoint detection in semiconductor wafer planarization is provided. The system and method provide a flexible solution that can compensate for baseline variability induced errors that may otherwise occur in endpoint detection. The system uses an endpoint detection signal that monitors the optical characteristics of the wafer being planarized. The system and method continue to monitor the detection signal during planarization until it meets endpoint criterion that indicates endpoint completion. When the endpoint criterion is reached, a new snapshot is taken from a previous time period and a new baseline is calculated. The endpoint detection signal is then recalculated based upon the new baseline and the recalculated detection signal is again compared to the endpoint criterion. If the recalculated endpoint detection signal again substantially meets the endpoint criterion then the detection of endpoint is confirmed.
    Type: Application
    Filed: October 4, 2002
    Publication date: May 8, 2003
    Inventors: Thomas Laursen, Mamoru Yamayoshi
  • Publication number: 20030087528
    Abstract: In a process for planarization of semiconductor substrates in which a layer which has been applied to a semiconductor substrate which has a trench and/or contact holes is removed such that the layer remains solely in the area of the trenches or contact holes, instead of as in the prior art the etching medium being applied in drops, the etching medium is applied in a continuous flow with a flow rate of at least 0.4 l/min so that the etching medium covers the entire surface of the semiconductor substrate to be planarized. This technique yields a differentiated etching rate, the etching speed in the area of the fields between the trenches or contact holes being greater than in the area of the trenches themselves, so that as a result the coating applied to the semiconductor substrate is etched away more quickly than in the area of the trenches and finally material remains only in the area of the trenches or contact holes.
    Type: Application
    Filed: May 14, 2002
    Publication date: May 8, 2003
    Applicant: SEZ SEMICONDUCTOR-EQUIPMENT ZUBEHOR FUR DIE HALBLE
    Inventors: Hans-Jurgen Kruwinus, Reinhard Sellmer
  • Patent number: 6559009
    Abstract: The present invention provides a method of fabricating a flash memory. The method first involves forming a gate oxide layer on a silicon substrate of a semiconductor wafer. Then, a first polysilicon layer, and a silicon nitride layer are formed, respectively, on the gate oxide layer. A lithographic process is then used to pattern a first photoresist layer for defining a memory array area and a peripheral region. The first photoresist layer is then used to etch the silicon nitride layer down to the surface of the silicon substrate to form a wide gap at the boundary between the memory array area and the peripheral region, and a plurality of gaps in the memory array area. An HDP oxide layer is then deposited, followed by coating of a photoresist (PR) on the wafer to achieve cell planarization. Thereafter, an oxide etch back process is performed followed by stripping of both the PR coating and the silicon nitride layer. Finally, a floating gate and a control gate are formed, respectively.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: May 6, 2003
    Assignee: Macronix International Co. Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6559030
    Abstract: A method of forming a recessed polysilicon contact is provided. The method includes: forming a trench in a substrate; overfilling the trench with polysilicon; removing the polysilicon outside of the trench to provide a substantially planar surface; oxidizing the surface of the polysilicon in the trench using plasma oxidation; and removing an upper portion of the polysilicon from the trench.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: May 6, 2003
    Assignee: International Business Machines Corporation
    Inventors: Thai Doan, Zhong-Xiang He, Michael P. McMahon
  • Patent number: 6555476
    Abstract: Silicon carbide is used for a hardmask for the isolation dielectric etch and also serves as an etch stop for chemical-mechanical polishing. Alternatively, silicon carbonitride or silicon carboxide can be used.
    Type: Grant
    Filed: December 21, 1998
    Date of Patent: April 29, 2003
    Assignee: Texas Instruments Incorporated
    Inventors: Leif C. Olsen, Leland S. Swanson, Henry L. Edwards
  • Patent number: 6544430
    Abstract: Improved methods and articles used to fabricate flexible circuit structures are disclosed. The methods include depositing a release layer or a dielectric film on a substrate, and then forming a conductive laminate on the release layer or the dielectric film. The conductive laminate may be easily separated by the substrate to eventually form a flexible circuit structure. Plasma may be used to treat a surface of the release layer or the dielectric film to produce a plasma-treated surface to lower the peel strength of any film or layer bound to the plasma-treated surface.
    Type: Grant
    Filed: May 31, 2001
    Date of Patent: April 8, 2003
    Assignee: Fujitsu Limited
    Inventors: Mark Thomas McCormack, James Roman, Lei Zhang, Solomon I. Beilin
  • Patent number: 6537917
    Abstract: This invention relates to a method for fabricating a electrically insulating layer, more particularly, to the method for fabricating a electrically insulating layer by using the different etching rates in etching oxide and etching nitride. The present invention uses the way in different etching rates to etch oxide and nitride. When begin the etching process to fabricating the electrically insulating layer, the etching rate of oxide is higher than the etching rate of nitride. When the oxide layer contacts with the ending point which is situated between the oxide layer and the nitride layer or the nitride oxide layer, the etching rate of nitride is higher than the etching rate of oxide to form the flatter surface of the electrically insulating layer.
    Type: Grant
    Filed: March 13, 2001
    Date of Patent: March 25, 2003
    Assignee: Macronix International Co., Ltd.
    Inventors: Jiun-Ren Lai, Chien-Wei Chen
  • Patent number: 6531265
    Abstract: A method to planarize a semiconductor surface using a Fence Creation and Elimination (FCE) process is described. Shallow recesses on a semiconductor surface are filled with a filling material. The filling material is deposited on the semiconductor surface to a thickness approximately equal to the depth of the shallow recesses. A selectively etchable material is formed on the filling material. A reverse mask (RM) is used to pattern the selectively etchable material to form segments of the selectively etchable material equal to the pattern of the shallow recesses and aligned to the shallow recesses. Exposed filling material is removed followed by the removal of the segments of the selectively etchable material. The remaining filling material in the shallow recesses forms fences which extend above the surface of the semiconductor. The fences are removed resulting in a planar semiconductor surface.
    Type: Grant
    Filed: December 14, 2000
    Date of Patent: March 11, 2003
    Assignee: International Business Machines Corporation
    Inventors: Shaw-Ning Mei, T. Howard Shillingford, Edward J. Vishnesky
  • Patent number: 6531412
    Abstract: A method is described for forming a low-k dielectric film, in particular, a pre-metal dielectric (PMD) on a semiconductor wafer which has good gap-filling characteristics. The method uses a thermal sub-atmospheric CVD process that includes a carbon-containing organometallic precusor such as TMCTS or OMCTS, an ozone-containing gas, and a source of dopants for gettering alkali elements and for lowering the reflow temperature of the dielectric while attaining the desired low-k and gap-filling properties of the dielectric film. Phosphorous is a preferred dopant for gettering alkali elements such as sodium. Additional dopants for lowering the reflow temperature include, but are not limited to boron, germanium, arsenic, fluorine or combinations thereof.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: March 11, 2003
    Assignees: International Business Machines Corporation, Infineon Technologies AG
    Inventors: Richard A. Conti, Daniel C. Edelstein, Gill Yong Lee
  • Patent number: 6528389
    Abstract: This invention comprises an improved method of planarizing, an integrated circuit formed onto a semiconductor substrate and the planarized semiconductor substrate. Improved planarity is accomplished through the use a first and second stop layer separated by a filler layer. A first stop layer is used to define active and trench regions. A filler layer is then applied over the surface of the substrate and a second stop layer is applied on top of the filler layer. The second stop layer is patterned through etching. The pattern etched into the second stop layer is used to control chemical mechanical polishing that planarizes the surface. Patterns can be a reverse image of an active mask or a continuous pattern. In addition CMP can be used to create a condition of equilibrium planarity before the second stop layer is applied. The stop layers can comprise polysilicon, silicon nitride, or another material that is harder than a dielectric oxide material used as filler material.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: March 4, 2003
    Assignee: LSI Logic Corporation
    Inventors: Derryl D. J. Allman, John W. Gregory
  • Publication number: 20030040188
    Abstract: Methods and apparatus for planarizing a substrate surface are provided. In one aspect, a method is provided for planarizing a substrate surface including polishing a first conductive material to a barrier layer material, depositing a second conductive material on the first conductive material by an electrochemical deposition technique, and polishing the second conductive material and the barrier layer material to a dielectric layer. In another aspect, a processing system is provided for forming a planarized layer on a substrate, the processing system including a computer based controller configured to cause the system to polish a first conductive material to a barrier layer material, deposit a second conductive material on the first conductive material by an electrochemical deposition technique, and polish the second conductive material and the barrier layer material to a dielectric layer.
    Type: Application
    Filed: August 24, 2001
    Publication date: February 27, 2003
    Applicant: Applied Materials, Inc.
    Inventors: Wei-Yung Hsu, Liang-Yuh Chen, Ratson Morad, Daniel A. Carl
  • Patent number: 6521536
    Abstract: A series of evaluation steps is described for the planarization of a semiconductor substrate, such as a semiconductor wafer, using a linear track polisher having a continuous polishing surface. In the series of evaluation steps, there is determined a first pressure and a first continuous polishing surface speed at which an optimum material removal rate can be achieved and wherein the continuous polishing surface does not accumulate glaze as is possible when planarizing doped oxides such as PSG and BPSG.
    Type: Grant
    Filed: January 11, 1999
    Date of Patent: February 18, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Karl M. Robinson
  • Patent number: 6521922
    Abstract: The present invention provides a passivation film on a semiconductor wafer. The semiconductor wafer comprises a dielectric layer and a patterned conductive layer on the dielectric layer. The passivation film comprises a high density plasma (HDP) oxide layer positioned on the surface of the conductive layer and on the surface of the dielectric layer that is not covered by the conductive layer, a silicon nitride layer positioned on the HDP oxide layer, and a water-resistant layer positioned on the silicon nitride layer. The HDP oxide layer possesses good gap filling abilities to fill the spaces inside the conductive layer.
    Type: Grant
    Filed: February 28, 2000
    Date of Patent: February 18, 2003
    Assignee: Macronix International Co. Ltd.
    Inventors: Chi-Tung Huang, Wan-Yi Liu
  • Patent number: 6509274
    Abstract: A method for forming aluminum lines over aluminum-filled vias in a semiconductor substrate that can compensate for some misalignment between the filled vias and the lines. By alternately depositing liner-barrier layers and aluminum layers on the substrate, different etch chemistries can be used that can anisotropically etch an aluminum layer used to form the lines without etching voids in the aluminum-filled vias.
    Type: Grant
    Filed: August 4, 2000
    Date of Patent: January 21, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Ted Guo, Jing-Pei Chou, Liang-Yuh Chen, Roderick C. Mosely
  • Publication number: 20030008243
    Abstract: A process and structure for copper damascene interconnects including a tungsten-nitride (WN2) barrier layer formed by atomic layer deposition is disclosed. The process method includes of forming a copper damascene structure by forming a first opening through a first insulating layer. A second opening is formed through a second insulating layer which is provided over the first insulating layer. The first opening being in communication with the second opening. A tungsten-nitride (WN2) layer is formed in contact with the first and second openings. And, a copper layer is provided in the first and second openings. Copper is selectively deposited using a selective electroless deposition technique at low temperature to provide improved interconnects having lower electrical resistivity and more electro/stress-migration resistance than conventional interconnects. Additionally, metal adhesion to the underlying substrate materials is improved and the amount of associated waste disposal problems is reduced.
    Type: Application
    Filed: July 9, 2001
    Publication date: January 9, 2003
    Applicant: Micron Technology, Inc.
    Inventors: kie Y. Ahn, Leonard Forbes
  • Patent number: 6498072
    Abstract: A process for producing a semiconductor device including plural element forming regions having different element region widths W and element isolating regions between said element forming regions. The process includes forming trenches on a semiconductor substrate having previously accumulated thereon a first dielectric film for forming isolating regions; accumulating a second dielectric film having a thickness t on the semiconductor substrate to fill in trenches; removing part of the second dielectric film on element forming regions that have an element regions width W satisfying the following equation: W≧2t/tan &thgr;, wherein &thgr; represents a accumulation angle of said second dielectric film on said element forming region; and polishing the second dielectric film.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: December 24, 2002
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Kenichi Azuma
  • Patent number: 6492209
    Abstract: A method for providing partially depleted and fully depleted transistor devices on the same semiconductor wafer. At least one trench is etched into a bulk semiconductor wafer. The wafer is then filled with an insulating material and polished down to the surface level of the semiconductor wafer to form a generally planar surface. A handle wafer is provided having a substrate layer and an insulating layer. The planar surface of the semiconductor wafer is bonded to the insulating layer of the handle wafer. The trench filled regions of the semiconductor wafer form regions of a first thickness and the remaining regions of the semiconductor wafer form regions of a second thickness. Fully depleted transistor device can then be formed in the regions of the first thickness and partially depleted transistor devices can be formed in regions of the second thickness.
    Type: Grant
    Filed: June 30, 2000
    Date of Patent: December 10, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Srinath Krishnan, Matthew Buynoski, Witold Maszara
  • Patent number: 6488038
    Abstract: A method and apparatus for cleaning organic material from a semiconductor substrate suppresses the oxidation of a conductive film or layer on the substrate. A semiconductor substrate is immersed within a bath of water. The conductive layer is contacted to a source of electrons. The electrons form a floating charge protecting the conductive layer from oxidation. Ozone gas is introduced into the water bath. In another aspect, the semiconductor substrate is sprayed with water. Organic contaminants or films are oxidized and removed by the ozone, while the conductive or metal layer is preserved. An anode may be placed adjacent to the surface of the semiconductor substrate to passivate the metal layer via current flow.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: December 3, 2002
    Assignee: Semitool, Inc.
    Inventors: Eric J. Bergman, Craig P. Meuchel, Ian Sharp
  • Patent number: 6482697
    Abstract: The present invention provides a method of forming a gate structure of a floating gate MOS field effect transistor.
    Type: Grant
    Filed: November 6, 2000
    Date of Patent: November 19, 2002
    Assignee: NEC Corporation
    Inventor: Hiroki Shirai
  • Patent number: 6479389
    Abstract: This invention describes two new methods to form copper alloy films. In the first embodiment of this invention physical vapor deposition (PVD) or sputtering of a copper alloy film, is then followed by a chemical vapor deposition (CVD) or electro-chemical deposition (ECD) of a layer of pure copper. In the second embodiment of this invention chemical vapor deposition (CVD) or electro-chemical deposition (ECD) deposits a layer of pure copper, which is then followed by physical vapor deposition (PVD) or sputtering of a copper alloy film. In yet another embodiment to these methods, special, separate low temperature annealing steps follow said methods to enhance copper alloy formation. By the two deposition techniques briefly described above, high aspect ratio vias and trenches can be filled with copper corrosion and electromigration resistant alloys.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: November 12, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Ming-Hsing Tsai, Sheng Hsiang Chen
  • Patent number: 6479394
    Abstract: A method of etching dissimilar materials having interfaces at non-perpendicular angles to the direction of the etch propagation that results in a low selectivity etch in order to achieve an improved planarized etched surface. The method includes the step of subjecting the dissimilar materials to a process gas mixture that includes a first gas that dominates the etching of a first material and a second gas that dominates the etching of a second material. The flow rates for the first and second materials are selected, along with other parameters of the plasma etch apparatus, to substantially equalize the etching rates for the two materials. This method is particularly useful to achieve a low-selective etching of materials having interfaces that are at a non-perpendicular angle with respect to the etch propagation.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: November 12, 2002
    Assignee: Maxim Integrated Products, Inc.
    Inventors: Dmitri A. Choutov, Alexander Kalnitsky, Geoffrey C. Stutzin
  • Patent number: 6475875
    Abstract: A process for forming insulator filled, shallow trench isolation (STI), regions in a semiconductor substrate, featuring a disposable polysilicon stop layer used to allow uniform insulator fill to be obtained, independent of shallow trench width, has been developed. The process features filling shallow trench shapes with a first high density plasma (HDP), deposited silicon oxide layer, followed by the deposition of the thin polysilicon stop layer, and a second HDP silicon oxide layer. After a planarizing chemical mechanical polishing procedure residual regions of the second HDP silicon oxide, still remaining in regions overlying the insulator filled shallow trench shapes, are selectively removed using the thin polysilicon layer as a stop layer. The polysilicon layer is then thermally oxidized. The thickness of the polysilicon layer can be varied such that the resultant polysilicon oxide layer serves to alleviate the possible oxide loss in the STI regions during subsequent clean processes.
    Type: Grant
    Filed: July 9, 2001
    Date of Patent: November 5, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Pang Chong Hau, Chen Feng, Alex See, Peter Hing
  • Patent number: 6475821
    Abstract: A cylindrical, electrical insulating region is formed in a circuit substrate made of a semiconductor substrate to continuously extend from the upper surface to the lower surface of the semiconductor substrate and be closed in a plane parallel to the surface of the semiconductor substrate. The electrical insulating region is formed by an insulating region made of a heat-resistant insulating material. The insulating region is formed by forming a through hole or trench in the circuit substrate and forming an oxide film or nitride film on the wall surface of the hole or trench or filling it with an insulating material. When the trench is formed, the substrate is thinned by polishing or the like until the trench appears on the upper and lower surfaces of the substrate after the insulating region is formed. The region surrounded by the insulating region functions as an electrode when the conductivity of the region is increased by diffusing an impurity in it.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: November 5, 2002
    Assignee: Yamatake Corporation
    Inventor: Nobuaki Honda