Photo-induced Plasma Etching Patents (Class 438/709)
  • Patent number: 11075083
    Abstract: A method for forming a gate stack of a field-effect transistor includes depositing a Si capping layer on a Ge channel material (100). The method further includes depositing an oxide layer on the Si capping layer by a plasma enhanced deposition technique at a temperature less than or equal to 200° C., and a plasma power less than or equal to 100 W.
    Type: Grant
    Filed: November 22, 2019
    Date of Patent: July 27, 2021
    Assignee: IMEC vzw
    Inventors: Hiroaki Arimura, Antony Premkumar Peter, Hendrik F. W. Dekkers
  • Patent number: 10964597
    Abstract: An element chip manufacturing method including: a preparing step of preparing a first conveying carrier including a holding sheet and a frame, and a substrate held on the holding sheet, the holding sheet having a first surface and a second surface opposite the first surface, the frame attached to at least part of a peripheral edge of the holding sheet; a placing step of placing the first conveying carrier holding the substrate, on a second conveying carrier; a preprocessing step of preprocessing the substrate, after the placing step; a removing step of removing the second conveying carrier, after the preprocessing step; and a dicing step of subjecting the substrate held on the first conveying carrier to plasma exposure, after the removing step, to form a plurality of element chips from the substrate.
    Type: Grant
    Filed: September 11, 2019
    Date of Patent: March 30, 2021
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Atsushi Harikai, Shogo Okita, Noriyuki Matsubara, Hidefumi Saeki, Akihiro Itou
  • Patent number: 10734203
    Abstract: A plasma processing apparatus comprises a base including an electrode body having a seat surface for setting a substrate held on a conveying carrier, and a platform for supporting the electrode body, and a lid configured to be moved up and down relative to the base, wherein the lid is moved down and appressed on the platform to define a closed space and a plasma is generated within the closed space to implement a plasma processing for the substrate set on the seat surface. The substrate is held on the holding sheet and set on the seat surface with the holding sheet therebetween. The plasma processing apparatus further comprises a guide being provided along a circumference of the electrode body for alignment of the frame, and a cover provided with the lid for covering at least the frame of the conveying carrier when the closed space is defined.
    Type: Grant
    Filed: September 11, 2017
    Date of Patent: August 4, 2020
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Tetsuhiro Iwai, Motoko Hara
  • Patent number: 10497862
    Abstract: A magnetic memory device includes a magnetic memory stack including a bottom electrode and having a hard mask formed thereon. An encapsulation layer is formed over sides of the magnetic memory stack and has a thickness adjacent to the sides formed on the bottom electrode. A dielectric material is formed over the encapsulation layer and is removed from over the hard mask and gapped apart from the encapsulation layer on the sides of the magnetic memory stack to form trenches between the dielectric material and the encapsulation layer at the sides of the magnetic memory stack. A top electrode is formed over the hard mask and in the trenches such that the top electrode is spaced apart from the bottom electrode by at least the thickness.
    Type: Grant
    Filed: June 29, 2018
    Date of Patent: December 3, 2019
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Anthony J. Annunziata, Gen P. Lauer, Nathan P. Marchack
  • Patent number: 10002764
    Abstract: A method of etching a workpiece comprising two or more materials is disclosed. The method involves using physical sputtering as the etching method where the processing parameters of the sputtering process are tuned to achieve a desired etch rate selectivity. The method includes determining the etch rate of each material disposed on the workpiece as a function of various processing parameters, such as ion species, ion energy, incidence angle and temperature. Once the relationship between etch rate and these parameters is determined for each material, a set of values for these processing parameters may be chosen to achieve the desired etch rate selectivity.
    Type: Grant
    Filed: December 16, 2016
    Date of Patent: June 19, 2018
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Kevin Anglin, Tristan Ma, Morgan D. Evans, John Hautala, Heyun Yin
  • Patent number: 9817084
    Abstract: A single-chip magnetic field sensor bridge, comprising a substrate, a reference arm, a sensing arm, shielding structures, and wire bond pads is disclosed. The reference arm and the sense arm respectively comprise at least two rows/columns of reference element strings and sense element strings formed by electrically connecting one or more identical magnetoresistive sensing elements. The reference element strings and the sense element strings are alternately arranged. The magnetoresistive sensing elements are AMR, GMR or TMR sensing elements. The reference element strings are provided with shielding structures thereon, and the sensing element strings are located in gaps between two adjacent shielding structures. The shielding structures are arrays of elongated strips composed of permalloy or another soft ferromagnetic material. The sensors can be implemented as one of three different bridge structures, called a quasi-bridge, a half-bridge, or a full-bridge.
    Type: Grant
    Filed: May 28, 2014
    Date of Patent: November 14, 2017
    Assignee: MultiDimension Technology Co., Ltd.
    Inventor: James Geza Deak
  • Patent number: 9805940
    Abstract: A plasma processing method includes forming plasma in a processing chamber; and performing etching to a film to be processed of a film structure that has previously been disposed on an upper surface of a wafer that includes a plurality of film layers. The film structure includes: a lower film including at least one film layer and a groove structure; and an upper film including at least one film layer that covers an inside and an upper end of the groove structure. The plasma processing method includes: removing the upper film by etching until an upper end of the groove structure of the lower film is exposed; performing etching to a film layer of the upper film inside the groove structure; and determining an end point by using a value of thickness of the film layer inside the groove structure of the lower film upon completion of the removing.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: October 31, 2017
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kousuke Fukuchi, Shigeru Nakamoto, Tatehito Usui, Satomi Inoue
  • Patent number: 9735020
    Abstract: A method of etching a wafer includes injecting a source gas mixture into a process chamber. The injecting includes injecting the source gas into multiple hollow cathode cavities in a top electrode, generating plasma in each of the cavities, and outputting the plasma from corresponding outlets of the cavities into a wafer processing region in the chamber, where the processing region is located between the outlets and a surface to be etched. An etchant gas mixture is injected into the processing region through injection ports in the top electrode such that the etchant gas mixes with the plasma output from the outlets. The etchant gas is prevented from flowing into the outlets of the cavities by the plasma flowing from the outlets. Mixing the etchant gas and the output from the cavities generates a desired chemical species in the processing region and thereby enables the surface to be etched.
    Type: Grant
    Filed: October 27, 2015
    Date of Patent: August 15, 2017
    Assignee: Lam Research Corporation
    Inventor: Eric A. Hudson
  • Patent number: 9716011
    Abstract: A method of manufacturing a semiconductor device, the method, comprising a first etching step of etching a substrate on which a silicon member and a compound member containing nitrogen and silicon are exposed, by using a first etching gas containing XeF2 and H2, and a second etching step of etching the substrate by using a second etching gas containing XeF2, wherein the second etching gas satisfies at least one of (i) a condition that the second etching gas is lower in a partial pressure of H2 than the first etching gas, and (ii) a condition that the second etching gas is smaller in a quantity of flow of H2 than the first etching gas.
    Type: Grant
    Filed: January 6, 2015
    Date of Patent: July 25, 2017
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Masaaki Minowa, Takayuki Sumida
  • Patent number: 9337081
    Abstract: Manufacturing stability of a semiconductor device is improved. A method of manufacturing a semiconductor device includes the steps of: forming an etching stopper film over a first interlayer insulating film; forming an inorganic insulating film over the etching stopper film; forming a resist film over the inorganic insulating film; selectively etching the etching stopper film and the inorganic insulating film by using the resist film as a mask to form a first opening in the etching stopper film and to form a second opening in the inorganic insulating film; removing the resist film by O2 plasma ashing; forming a second interlayer insulating film over the inorganic insulating film; and etching the second interlayer insulating film to form a wiring groove that is coupled to the second opening, and etching a portion located under the first opening of the first interlayer insulating film to form a via hole.
    Type: Grant
    Filed: September 4, 2013
    Date of Patent: May 10, 2016
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Takayuki Gotou
  • Patent number: 8986556
    Abstract: A TAMR (Thermally Assisted Magnetic Recording) write head is formed with a narrow pole tip, a trailing edge magnetic shield and, optionally, a plasmon shield. The narrow pole tipped write head uses the energy of laser generated edge plasmons, formed in a plasmon generating layer, to locally heat a PMR magnetic recording medium slightly below its Curie temperature, Tc. When combined with the effects of the narrow tip, this local heating to a temperature below Tc is sufficient to create good transitions and narrow track widths in the magnetic medium. The write head is capable of writing effectively on state-of-the-art PMR recording media having Hk of 20 kOe or more.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: March 24, 2015
    Assignee: Headway Technologies, Inc.
    Inventors: Xuhui Jin, Yuchen Zhou, Kenichi Takano, Joe Smyth
  • Patent number: 8974684
    Abstract: Methods for etching a substrate are provided herein. In some embodiments, a method of etching a substrate may include generating a plasma by providing only a first RF signal having a first frequency and a first duty cycle; applying only a second RF signal to bias the plasma towards the substrate, wherein the second RF signal has the first frequency and a second duty cycle different than the first duty cycle; adjusting a phase variance between the first and second RF signals to control an ion energy distribution in the plasma; and etching the substrate with the plasma.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: March 10, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Samer Banna, Ankur Agarwal
  • Patent number: 8975192
    Abstract: A method is provided for manufacturing a semiconductor device having a heat-resistant resin film with flip-chip connection structure using a solder bump or a gold bump and an epoxy resin compound laminated thereon, in which adhesiveness is improved particularly after exposure to high temperature and high humidity environments for a long period of time, thereby enhancing the reliability of the semiconductor device. The method, in accordance with the present invention, for manufacturing a semiconductor device having a heat-resistant resin film formed on a semiconductor element and an epoxy resin compound layer laminated thereon, comprises the steps of carrying out a plasma treatment on a surface of the heat-resistant resin film on which the epoxy resin compound layer is laminated using a nitrogen atom-containing gas containing at least one of nitrogen, ammonia, and hydrazine.
    Type: Grant
    Filed: August 22, 2006
    Date of Patent: March 10, 2015
    Assignee: Hitachi Chemical Dupont Microsystems Ltd.
    Inventors: Yasunori Kojima, Toshiaki Itabashi
  • Patent number: 8945978
    Abstract: A metal contact of a solar cell is formed by electroplating copper using an electroplating seed that is formed on a dielectric layer. The electroplating seed includes an aluminum layer that connects to a diffusion region of the solar cell through a contact hole in the dielectric layer. A nickel layer is formed on the aluminum layer, with the nickel layer-aluminum layer stack forming the electroplating seed. The copper is electroplated in a copper plating bath that has methanesulfonic acid instead of sulfuric acid as the supporting electrolyte.
    Type: Grant
    Filed: June 28, 2013
    Date of Patent: February 3, 2015
    Assignee: SunPower Corporation
    Inventor: Joseph Frederick Behnke
  • Patent number: 8921232
    Abstract: A method of taper-etching a layer to be etched that is made of a dielectric material and has a top surface. The method includes the steps of: forming an etching mask with an opening on the top surface of the layer to be etched; and taper-etching a portion of the layer to be etched, the portion being exposed from the opening, by reactive ion etching so that a groove having two wall faces intersecting at a predetermined angle is formed in the layer to be etched. The step of taper-etching employs an etching gas containing a first gas contributing to the etching of the layer to be etched and a second gas contributing to the deposition of a sidewall protective film, and changes, during the step, the ratio of the flow rate of the second gas to the flow rate of the first gas so that the ratio increases.
    Type: Grant
    Filed: February 25, 2014
    Date of Patent: December 30, 2014
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Shigeki Tanemura
  • Publication number: 20140335695
    Abstract: Embodiments of the present invention provide an apparatus and methods for forming stair-like structures in manufacturing three dimensional (3D) stacking of semiconductor chips. In one embodiment, a method of forming stair-like structures on a substrate includes performing a trimming process on a substrate to trim a patterned photoresist layer disposed on a film stack from a first width to a second width in a processing chamber, wherein the patterned photoresist layer exposes a portion of the film stack uncovered by the patterned photoresist layer during the trimming process, wherein the trimming process further comprises supplying a trimming gas mixture including at least an oxygen containing gas, and providing a light energy in the trimming gas mixture to an edge of the substrate during the trimming process.
    Type: Application
    Filed: May 10, 2013
    Publication date: November 13, 2014
    Inventors: Olivier LUERE, Olivier JOUBERT
  • Patent number: 8877079
    Abstract: The present invention relates to a method of manufacturing a semiconductor device wherein etching is performed on films on a wafer using a plasma treatment apparatus. In the manufacturing method according to the present invention, a change in the difference between the emission intensities of a first wavelength component and a second wavelength component in plasma is monitored during etching. If the amount of change in the difference per unit time exceeds a predetermined threshold a given number of times in a row, then the flow rate of oxygen introduced to the plasma treatment apparatus is increased or, if the amount of change exceeding the predetermined threshold has not been seen, then the oxygen flow rate is set back to the original value thereof. This series of actions is repeated all the time during a set period of time.
    Type: Grant
    Filed: February 29, 2008
    Date of Patent: November 4, 2014
    Assignee: PS4 Luxco S.a.r.l.
    Inventor: Yasuhiko Ueda
  • Patent number: 8847223
    Abstract: A method of forming a photosensitive pattern on a substrate with a photosensitive layer disposed thereon may include moving at least one of the substrate and a set of micro-mirrors in a first direction, the set of micro-mirrors being disposed above the substrate and being arranged as an array, the array having a first edge extending in a second direction, the second direction being at an acute angle with respect to the first direction. The method may also include selectively turning on one or more micro-mirrors of the set of micro-mirrors according to a position of the set of micro-mirrors relative to the photosensitive layer, thereby irradiating one or more spot beams on the photosensitive layer. The photosensitive layer exposed by the spot beams is developed to form a photosensitive pattern having an edge portion extending in a third direction crossing the first and second directions.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: September 30, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jung-In Park, Su-Yeon Sim, Sang-Hyun Yun, Cha-Dong Kim, Hi-Kuk Lee
  • Publication number: 20140273482
    Abstract: A manufacturing method of a semiconductor device including arranging a compound semiconductor above a stage of a chamber, supplying an etching gas into the chamber, and generating a plasma in the chamber is provided. The compound semiconductor includes a group-III element nitride as a main component. A surface of the compound semiconductor is processed by a dry etching. Light is irradiated into the chamber during the generating of the plasma. A dry etching apparatus including a chamber including a stage, on which a compound semiconductor is mounted, and a light source irradiating light into the chamber is provided. The chamber is supplied with an etching gas. A plasma is generated in the chamber. A surface of the compound semiconductor is an object of a dry etching.
    Type: Application
    Filed: January 20, 2014
    Publication date: September 18, 2014
    Applicant: DENSO CORPORATION
    Inventors: Yoshinori TSUCHIYA, Shinichi HOSHI, Masaki MATSUI
  • Publication number: 20140256138
    Abstract: A method for removing photoresist residue includes etching a photoresist layer disposed over a front side of a semiconductor substrate during fabrication of a semiconductor device, and exposing at least one of the front side and the back side of the semiconductor substrate to an atmosphere comprising active oxygen. The method further includes cleaning at least one of the front side and the back side of the semiconductor substrate with a cleaning fluid.
    Type: Application
    Filed: March 5, 2013
    Publication date: September 11, 2014
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Mu-Chen Chen, Yi-Tse HUANG, Wei-Fan LIAO, Han-Ti HSIAW, Chia-I SHEN
  • Patent number: 8796151
    Abstract: Systems for and methods of laser-enhanced plasma processing of semiconductor materials are disclosed. The method includes supporting a semiconductor material in a processing chamber interior and subjecting the semiconductor material to a plasma process. The method also includes simultaneously heating the wafer surface with a laser beam through a window in the processing chamber to increase the reaction rate of the plasma process. Other methods include performing laser heating of the semiconductor material before or after the plasma process but while the semiconductor material resides in the same chamber interior.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: August 5, 2014
    Assignee: Ultratech, Inc.
    Inventors: Andrew M. Hawryluk, Arthur W. Zafiropoulo
  • Patent number: 8772171
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: July 8, 2014
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8758637
    Abstract: An apparatus of removing coatings of a line-shaped body of the invention includes a non-equilibrium atmospheric pressure plasma source with radicals controlled, having a plasma generating gas, a microwave, a micro gap; a line-shaped body holding portion for holding the line-shaped body within a range of 2 to 3 mm from an electrode to generate a plasma jet; and a moving stage for relatively moving the line-shaped body in the longitudinal direction thereof.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: June 24, 2014
    Assignees: The Furukawa Electric Co., Ltd.
    Inventors: Takeshi Hirayama, Imei Shu, Sadayuki Toda, Hisashi Koaizawa, Masaru Hori
  • Patent number: 8722547
    Abstract: Wafers having a high K dielectric layer and an oxide or nitride containing layer are etched in an inductively coupled plasma processing chamber by applying a source power to generate an inductively coupled plasma, introducing into the chamber a gas including BCl3, setting the temperature of the wafer to be between 100° C. and 350° C., and etching the wafer with a selectivity of high K dielectric to oxide or nitride greater than 10:1. Wafers having an oxide layer and a nitride layer are etched in a reactive ion etch processing chamber by applying a bias power to the wafer, introducing into the chamber a gas including BCl3, setting the temperature of the wafer to be between 20° C. and 200° C., and etching the wafer with an oxide to nitride selectivity greater than 10:1.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: May 13, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Radhika Mani, Nicolas Gani, Wei Liu, Meihua Shen, Shashank C. Deshmukh
  • Patent number: 8709949
    Abstract: According to embodiments of the present disclosure, a method for removing oxide includes placing a sensor chip assembly having an oxide layer formed on a portion thereof within an enclosed and controlled environment. The portion of the sensor chip assembly is exposed to a reactive gas and a UV light to result in a substantial removal of the oxide layer formed on the portion of the sensor chip assembly.
    Type: Grant
    Filed: May 13, 2011
    Date of Patent: April 29, 2014
    Assignee: Raytheon Company
    Inventors: Andreas Hampp, Sean F. Harris, Talieh H. Sadighi, Bengi F. Hanyaloglu
  • Patent number: 8703619
    Abstract: A method of taper-etching a layer to be etched that is made of a dielectric material and has a top surface. The method includes the steps of: forming an etching mask with an opening on the top surface of the layer to be etched; and taper-etching a portion of the layer to be etched, the portion being exposed from the opening, by reactive ion etching so that a groove having two wall faces intersecting at a predetermined angle is formed in the layer to be etched. The step of taper-etching employs an etching gas containing a first gas contributing to the etching of the layer to be etched and a second gas contributing to the deposition of a sidewall protective film, and changes, during the step, the ratio of the flow rate of the second gas to the flow rate of the first gas so that the ratio increases.
    Type: Grant
    Filed: January 19, 2012
    Date of Patent: April 22, 2014
    Assignee: Headway Technologies, Inc.
    Inventors: Hironori Araki, Yoshitaka Sasaki, Hiroyuki Ito, Shigeki Tanemura
  • Patent number: 8685266
    Abstract: Monocyclic chlorine based inductively coupled plasma deep etching processes for the rapid micromachining of titanium substrates and titanium devices so produced are disclosed. The method parameters are adjustable to simultaneously vary etch rate, mask selectivity, and surface roughness and can be applied to titanium substrates having a wide variety of thicknesses to produce high aspect ratio features, smooth sidewalls, and smooth surfaces. The titanium microdevices so produced exhibit beneficially high fracture toughness, biocompatibility and are robust and able to withstand harsh environments making them useful in a wide variety of applications including microelectronics, micromechanical devices, MEMS, and biological devices that may be used in vivo.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: April 1, 2014
    Assignee: The Regents of the University of California
    Inventors: Emily R. Parker, Brian J. Thibeault, Marco F. Aimi, Masa P. Rao, Noel C. MacDonald
  • Patent number: 8642478
    Abstract: There is provided a plasma processing apparatus capable of optimizing a plasma process in response to various requirements of a micro processing by effectively controlling a RF bias function. In this plasma processing apparatus, a high frequency power RFH suitable for generating plasma of a capacitively coupling type is applied to an upper electrode 48 (or lower electrode 16) from a third high frequency power supply 66, and two high frequency powers RFL1 (0.8 MHz) and RFL2 (13 MHz) suitable for attracting ions are applied to the susceptor 16 from first and second high frequency power supplies 36 and 38, respectively, in order to control energy of ions incident onto a semiconductor wafer W from the plasma. A control unit 88 controls a total power and a power ratio of the first and second high frequency powers RFL1 and RFL2 depending on specifications, conditions or recipes of an etching process.
    Type: Grant
    Filed: August 22, 2011
    Date of Patent: February 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Yoshinobu Ooya, Akira Tanabe, Yoshinori Yasuta
  • Patent number: 8637374
    Abstract: A self-aligned carbon-nanotube field effect transistor semiconductor device comprises a carbon-nanotube deposited on a substrate, a source and a drain formed at a first end and a second end of the carbon-nanotube, respectively, and a gate formed substantially over a portion of the carbon-nanotube, separated from the carbon-nanotube by a dielectric film.
    Type: Grant
    Filed: February 8, 2012
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Joerg Appenzeller, Phaedon Avouris, Kevin K. Chan, Philip G. Collins, Richard Martel, Hon-Sum Philip Wong
  • Patent number: 8633115
    Abstract: Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: January 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Mei Chang, Joseph Yudovsky
  • Patent number: 8609545
    Abstract: A method and system for fabricating a substrate is disclosed. First, a plurality of process chambers are provided, at least one of the plurality of process chambers adapted to receive at least one plasma filtering plate and at least one of the plurality of process chambers containing a plasma filtering plate library. A plasma filtering plate is selected and removed from the plasma filtering plate library. Then, the plasma filtering plate is inserted into at least one of the plurality of process chambers adapted to receive at least one plasma filtering plate. Subsequently, an etching process is performed in the substrate.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: December 17, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: I-Hsiung Huang, Chi-Lin Lu, Heng-Jen Lee, Sheng-Chi Chin, Yao-Ching Ku
  • Patent number: 8609546
    Abstract: A method for etching a conductive layer through a mask with wider and narrower features is provided. A steady state etch gas is flowed. A steady state RF power is provided to form a plasma from the etch gas. A pulsed bias voltage is provided during the steady state etch gas flow, wherein the pulsed bias voltage has a frequency between 1 to 10,000 Hz. Wider and narrower features are etched into the conductive layer using the plasma formed from the etch gas.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: December 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Wonchul Lee, Qian Fu, Shenjian Liu, Bryan Pu
  • Patent number: 8563431
    Abstract: In a manufacturing process of a semiconductor device, a manufacturing technique for reducing the number of lithography processes using a photoresist and simplifying the process is provided, and the throughput is improved. An etching mask for forming a pattern of a layer to be processed such as a conductive layer or a semiconductor layer is manufactured without using a lithography technique that uses a photoresist. The etching mask is formed of a stacked layer structure of a light absorption layer and an insulating layer utilizing laser ablation by laser beam irradiation through a photomask.
    Type: Grant
    Filed: August 17, 2007
    Date of Patent: October 22, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hidekazu Miyairi, Eiji Higa
  • Patent number: 8557710
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of metal-containing material is described. In particular, the GCIB etch processing includes forming a GCIB that contains a halogen element.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: October 15, 2013
    Assignee: TEL Epion Inc.
    Inventors: Yan Shao, Martin D. Tabat, Christopher K. Olsen, Ruairidh Maccrimmon
  • Patent number: 8551888
    Abstract: A method of forming patterns for a semiconductor device. The method includes: forming a first hard mask layer on a layer which is to be etched; forming a second hard mask layer on the first hard mask layer, wherein the second hard mask layer includes a first portion and a second portion formed underneath the first portion, wherein the first portion and second portion are composed of the same material; etching the first portion to form first patterns; forming spacers covering sidewalls of the first patterns; etching the second portion using the spacers as etch masks to form second patterns; etching the first hard mask layer and the spacers using the second patterns disposed underneath the spacers as etch masks to form third patterns; and etching the layer to be etched, using the third patterns.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: October 8, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-seung Kang, Jong-chul Park, Kwang-yong Yang, Sang-sup Jeong, Seok-hyun Lim
  • Patent number: 8536051
    Abstract: A semiconductor device manufacture method includes: forming a first film above a semiconductor substrate; forming a first mask film above the first film; patterning the first mask film; executing a plasma process for a side wall of the patterned first mask film to transform the side wall into a transformed layer; after the plasma process, forming a second mask film covering the first mask film; etching the second mask film to remove the second mask film above the first mask film and leave the second mask film formed on the side wall; after the etching the second mask film, removing the transformed layer; and after the removing the transformed layer, etching the first film by using the first mask film and the second mask film as mask.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: September 17, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hikaru Ohira, Tomoyuki Kirimura
  • Patent number: 8518829
    Abstract: A method of forming a nanopore array includes patterning a front layer of a substrate to form front trenches, the substrate including a buried layer disposed between the front layer and a back layer; depositing a membrane layer over the patterned front layer and in the front trenches; patterning the back layer and the buried layer to form back trenches, the back trenches being aligned with the front trenches; forming a plurality of nanopores through the membrane layer; depositing a sacrificial material in the front trenches and the back trenches; depositing front and back insulating layers over the sacrificial material; and heating the sacrificial material to a decomposition temperature of the sacrificial material to remove the sacrificial material and form pairs of front and back channels, wherein the front channel of each channel pair is connected to the back channel of its respective channel pair by an individual nanopore.
    Type: Grant
    Filed: April 22, 2011
    Date of Patent: August 27, 2013
    Assignee: International Business Machines Corporation
    Inventors: Bing Dang, Hongbo Peng
  • Patent number: 8513134
    Abstract: In a semiconductor device producing method according to one embodiment, an insulating film containing silicon is formed on a semiconductor substrate, a resist is deposited on the insulating film, the resist is patterned into a predetermined pattern, and the insulating film is processed by a dry etching treatment in which gas containing C, F, Br, H, and O is used with the resist having the predetermined pattern as a mask. A deposited film in which C and Br are coupled is produced on the resist.
    Type: Grant
    Filed: January 25, 2011
    Date of Patent: August 20, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Mitsuhiro Omura, Yumi Ohno, Takaya Matsushita, Tokuhisa Ohiwa
  • Patent number: 8513142
    Abstract: A method of manufacturing non-photosensitive polyimide passivation layer is disclosed. The method includes: spin-coating a non-photosensitive polyimide layer over a wafer and baking it; depositing a silicon dioxide thin film thereon; spin-coating a photoresist layer over the silicon dioxide thin film and baking it; exposing and developing the photoresist layer to form a photoresist pattern; etching the silicon dioxide thin film by using the photoresist pattern as a mask; removing the patterned photoresist layer; dry etching the non-photosensitive polyimide layer by using the patterned silicon dioxide thin film as a mask; removing the patterned silicon dioxide thin film; and curing to form a imidized polyimide passivation layer. The method addresses issues of the traditional non-photosensitive polyimide process, including aluminum corrosion by developer, tapered profile of non-photosensitive polyimide layer and generation of photoresist residues.
    Type: Grant
    Filed: November 20, 2012
    Date of Patent: August 20, 2013
    Assignee: Shanghai Hua Hong NEC Electronics Co., Ltd.
    Inventor: Xiaobo Guo
  • Patent number: 8513138
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of Si-containing material and/or Ge-containing material is described. In particular, the GCIB etch processing includes forming a GCIB that contains a halogen element.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: August 20, 2013
    Assignee: TEL Epion Inc.
    Inventors: Yan Shao, Martin D. Tabat, Christopher K. Olsen, Ruairidh MacCrimmon
  • Publication number: 20130196509
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of various materials is described. In particular, the GCIB etch processing includes setting one or more GCIB properties of a GCIB process condition for the GCIB to achieve one or more target etch process metrics. Furthermore, the GCIB etch processing utilizes Si-containing and/or Ge-containing etchants. Further yet, the GCIB etch processing facilitates etching Si-containing material, Ge-containing material, and metal-containing material.
    Type: Application
    Filed: March 14, 2013
    Publication date: August 1, 2013
    Applicant: TEL Epion Inc.
    Inventor: TEL Epion Inc.
  • Patent number: 8486291
    Abstract: In the present invention, provided is a plasma processing method which reduces or eliminates the emission of contaminating matters caused by a quality-altered layer on the surface of yttria of a processing chamber's inner wall and parts inside the processing chamber. It is the plasma processing method including an etching step of setting a sample inside the processing chamber, and etching the sample, a deposition-product removing step of removing a deposition product by using a plasma, the deposition product being deposited inside the processing chamber by the etching step, the plasma being generated using a gas which contains fluorine or chlorine, and a step of exposing, to a rare-gas-based plasma, the inside of the processing chamber after the deposition-product removing step.
    Type: Grant
    Filed: January 21, 2011
    Date of Patent: July 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeshi Ohmori, Yasuhiro Nishimori, Hiroaki Ishimura, Hitoshi Kobayashi, Masamichi Sakaguchi
  • Patent number: 8476762
    Abstract: A structure and a method of manufacturing a Pb-free Controlled Collapse Chip Connection (C4) with a Ball Limiting Metallurgy (BLM) structure for semiconductor chip packaging that reduce chip-level cracking during the Back End of Line (BEOL) processes of chip-join cool-down. An edge of the BLM structure that is subject to tensile stress during chip-join cool down is protected from undercut of a metal seed layer, caused by wet etch of the chip to remove metal layers from the chip's surface and solder reflow, by an electroplated barrier layer, which covers a corresponding edge of the metal seed layer.
    Type: Grant
    Filed: May 4, 2012
    Date of Patent: July 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Christopher D. Muzzy, Wolfgang Sauter
  • Patent number: 8461052
    Abstract: In a process for forming trenches having M different widths in a substrate, a passivation step and an etching step are alternately performed. The passivation step includes depositing a passivation layer on a bottom of the trenches by converting gas introduced in a chamber into plasma. The etching step includes removing the passivation layer on the bottom of the trenches and applying reactive ion etching to the bottom to increase a depth of the trenches. The etching step further includes setting energy for the reactive ion etching to a predetermined value when the passivation layer on the bottom of the trench having the Nth smallest width is removed. The value allows the etching amount of the trench having the Nth smallest width to be equal to or greater than the etching amount of the trench having the (N+1)th smallest width.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: June 11, 2013
    Assignee: DENSO CORPORATION
    Inventors: Junji Oohara, Kazushi Asami
  • Patent number: 8445390
    Abstract: A laser absorption layer is first selectively formed in a seal pattern region surrounding an array of electromechanical systems elements, followed by depositing an antistiction layer as a blanket layer over the substrate and the laser absorption layer. The antistiction layer is then selectively removed from the seal pattern using a laser. An epoxy sealing material is provided in the seal pattern where the antistiction layer was removed and a backplate is sealed to the substrate using epoxy.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: May 21, 2013
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventor: Teruo Sasagawa
  • Patent number: 8426312
    Abstract: By providing an etch stop layer selectively at the bevel, at least one additional wet chemical bevel etch process may be performed prior to or during the formation of a metallization layer without affecting the substrate material. Hence, the dielectric material, especially the low-k dielectric material, may be reliably removed from the bevel prior to the formation of any barrier and metal layers. The etch stop layer may be formed at an early manufacturing stage so that a bevel etch process may be performed at any desired stage of the formation of circuit elements.
    Type: Grant
    Filed: September 14, 2006
    Date of Patent: April 23, 2013
    Assignee: Globalfoundries Inc.
    Inventors: Ralf Richter, Tobias Letz, Holger Schuehrer
  • Patent number: 8404595
    Abstract: A plasma processing method for processing a target substrate uses a plasma processing apparatus which includes a vacuum evacuable processing vessel for accommodating the target substrate therein, a first electrode disposed in the processing vessel and connected to a first RF power supply for plasma generation and a second electrode disposed to face the first electrode. The method includes exciting a processing gas containing fluorocarbon in the processing vessel to generate a plasma while applying a negative DC voltage having an absolute value ranging from about 100 V to 1500 V or an RF power of a frequency lower than about 4 MHz to the second electrode. The target layer is etched by the plasma, thus forming recesses on the etching target layer based on the pattern of the resist layer.
    Type: Grant
    Filed: September 25, 2007
    Date of Patent: March 26, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masanobu Honda, Manabu Sato, Yoshiki Igarashi
  • Patent number: 8367555
    Abstract: Methods for removing a masking material, for example, a photoresist, and electronic devices formed by removing a masking material are presented. For example, a method for removing a masking material includes contacting the masking material with a solution comprising cerium. The cerium may be comprised in a salt. The salt may be cerium ammonium nitrate.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: February 5, 2013
    Assignees: International Business Machines Corporation, Advanced Technology Materials, Inc.
    Inventors: Ali Afzali-Ardakani, Emanuel Israel Cooper, Mahmoud Khojasteh, Ronald W. Nunes, George Gabriel Totir
  • Patent number: 8314035
    Abstract: In a method for the manufacture of an active matrix OLED display, at least two thin-film transistors and one storage capacitor are provided to drive each pixel, with a reduced number of photolithographic patterning steps.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: November 20, 2012
    Assignee: Universitaet Stuttgart
    Inventors: Norbert Fruehauf, Thomas Buergstein, Patrick Schalberger
  • Publication number: 20120244717
    Abstract: According to one embodiment, a resin removal method is provided. In the resin removal method, near-field light is generated in a local area of a pattern concave-convex portion on a pattern master used for imprinting by irradiating the pattern master with ultraviolet light in an ashing gas atmosphere which removes resin attached to the pattern master. Then, the resin is removed from the pattern master by using the ashing gas and the near-field light.
    Type: Application
    Filed: September 15, 2011
    Publication date: September 27, 2012
    Inventors: Yingkang ZHANG, Katsuyoshi KODERA, Tetsuaki MATSUNAWA, Masanori TAKAHASHI