With Substrate Heating Or Cooling Patents (Class 438/715)
  • Patent number: 8444869
    Abstract: A method and apparatus for cleaning a wafer. The wafer is heated and moved to a processing station within the apparatus that has a platen either permanently in a platen down position or is transferable from a platen up position to the platen down position. The wafer is positioned over the platen so as not to contact the platen and provide a gap between the platen and wafer. The gap may be generated by positioning the platen in a platen down position. A plasma flows into the gap to enable the simultaneous removal of material from the wafer front side, backside and edges. The apparatus may include a single processing station having the gap residing therein, or the apparatus may include a plurality of processing stations, each capable of forming the gap therein for simultaneously removing additional material from the wafer front side, backside and edges.
    Type: Grant
    Filed: May 24, 2010
    Date of Patent: May 21, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung
  • Publication number: 20130122713
    Abstract: Embodiments described herein generally relate to methods for manufacturing flash memory devices. In one embodiment, a method for removing native oxides from a substrate is provided. The method includes transferring a substrate having an oxide layer disposed thereon into a first processing chamber, exposing the substrate to a plasma generated from a cleaning gas mixture, wherein the cleaning gas mixture comprises a hydrogen-containing gas and a fluorine-containing gas, heating the substrate to a temperature sufficient to remove the oxide layer from the substrate, transferring the substrate from the first processing chamber to a second processing chamber without breaking vacuum, and flowing a plasma containing substantially nitrogen-containing radicals into the second processing chamber to expose the substrate to nitrogen containing radicals.
    Type: Application
    Filed: November 8, 2012
    Publication date: May 16, 2013
    Applicant: Applied Materials, Inc.
    Inventor: Applied Materials, Inc.
  • Patent number: 8431063
    Abstract: A heat treatment method is provided for a panel. The panel includes a plastic housing composition, in which semiconductor chips are embedded by their rear sides and edge sides, and the top sides of the semiconductor chips form a coplanar area with the plastic housing composition. The panel is fixed by its underside on a holder, and a temperature gradient (?T) is then generated between top side and the underside of the panel. The temperature gradient (?T) is then maintained for at least one delimited or selected time period. The panel is then cooled to room temperature (TR).
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: April 30, 2013
    Assignee: Intel Mobile Communications GmbH
    Inventors: Gottfried Beer, Markus Brunnbauer, Edward Fuergut
  • Patent number: 8426763
    Abstract: Rapid thermal processing systems and associated methods are disclosed herein. In one embodiment, a method for heating a microelectronic substrate include generating a plasma, applying the generated plasma to a surface of the microelectronic substrate, and raising a temperature of the microelectronic substrate with the generated plasma applied to the surface of the microelectronic substrate. The method further includes continuing to apply the generated plasma until the microelectronic substrate reaches a desired temperature.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: April 23, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Shu Qin
  • Publication number: 20130095666
    Abstract: Plasma confinement rings are adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to substantially reduce polymer deposition on those surfaces. The plasma confinement rings include an RF lossy material effective to enhance heating at portions of the rings. A low-emissivity material can be provided on a portion of the plasma confinement ring assembly to enhance heating effects.
    Type: Application
    Filed: December 6, 2012
    Publication date: April 18, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventor: LAM RESEARCH CORPORATION
  • Patent number: 8420544
    Abstract: A method for fabricating an interconnection structure includes the following steps. Firstly, a substrate having a first conductive layer thereon is provided. Next, an ultra low-k material layer is formed on the substrate. Next, a portion of the ultra low-k material layer is removed, so as to form an opening to expose the first conductive layer. Next, a dry-cleaning process is performed by using gas, so as to clean a surface of the first conductive layer exposed by the opening. The dry-cleaning process is performed at a temperature in a range from the room temperature to 100° C.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: April 16, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Hsin-Fu Huang, Chi-Mao Hsu, Tsun-Min Cheng, Chin-Fu Lin
  • Patent number: 8415780
    Abstract: A manufacturing method of a package carrier is provided. A substrate having an upper and lower surface is provided. A first opening communicating the upper and lower surface of the substrate is formed. A heat conducting element is disposed inside the first opening, wherein the heat conducting element is fixed in the first opening via an insulating material. At least a through hole passing through the substrate is formed. A metal layer is formed on the upper and lower surface of the substrate and inside the through hole. The metal layer covers the upper and lower surface of the substrate, the heat conducting element and the insulating material. A portion of the metal layer is removed. A solder mask is formed on the metal layer. A surface passivation layer is formed and covers the metal layer exposed by the solder mask and the metal layer located inside the through hole.
    Type: Grant
    Filed: April 20, 2011
    Date of Patent: April 9, 2013
    Assignee: Subtron Technology Co., Ltd.
    Inventor: Shih-Hao Sun
  • Patent number: 8409995
    Abstract: Positioning accuracy of a component in a substrate processing apparatus can be improved higher than a conventional case without increasing the insertion accuracy of positioning pins into positioning holes. Provided is a substrate processing apparatus including a mounting table 110 including a susceptor 114 having a substrate mounting surface 115 on which a wafer W is mounted and a focus ring mounting surface 116 on which a focus ring 124 is mounted; a plurality of positioning pins 200 made of a material expandable in a diametric direction by heating. Each positioning pin is inserted into a positioning hole (first reference hole) formed in the focus ring mounting surface of the susceptor and into a positioning hole (second reference hole) formed in the focus ring, and expanded in the diametric direction by heating and fitted into the positioning holes, thus allowing a position of the focus ring to be aligned.
    Type: Grant
    Filed: August 5, 2010
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yoshiyuki Kobayashi
  • Publication number: 20130078816
    Abstract: A substrate processing apparatus includes: a process chamber accommodating a substrate including a polysilicon film having an oxygen-containing layer formed thereon; a heating unit in the process chamber to heat the substrate; a gas supply unit to supply a process gas containing nitrogen and hydrogen to the substrate in the process chamber; an excitation unit to excite the process gas supplied into the process chamber; an exhaust unit to exhaust an inside of the process chamber; and a control unit to control at least the heating unit, the gas supply unit, the excitation unit and the exhaust unit for modifying the oxygen-containing layer into an oxynitride or nitride layer by heating the substrate to a predetermined temperature using the heating unit, exciting the process gas supplied by the gas supply unit using the excitation unit, and supplying the process gas excited by the excitation unit to the substrate.
    Type: Application
    Filed: September 26, 2012
    Publication date: March 28, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventor: HITACHI KOKUSAI ELECTRIC INC.
  • Patent number: 8398229
    Abstract: A method of curing radiation-curable fluid is described. In one example, the method includes emitting radiation from an array of light-emitting diodes towards ink to be cured. LEDs are cheap, light weight, highly efficient in their conversion of electrical power, and give effectively instant switching to full power. Another advantage is that the emission spectrum of an LED is sharply peaked around the nominal frequency. Thus LEDs give several advantages over conventional radiation sources such as mercury lamps. A low oxygen environment is preferably provided at the radiation source to accelerate the curing reaction. Also described are inks which are specially formulated to respond to the radiation emission spectrum of an LED.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: March 19, 2013
    Assignee: Inca Digital Printers Limited
    Inventors: Jindrich Vosahlo, Carole Noutary
  • Publication number: 20130059450
    Abstract: A method for etching features in a plurality of silicon based bilayers forming a stack on a wafer in a plasma processing chamber is provided. A main etch gas is flowed into the plasma processing chamber. The main etch gas is formed into a plasma, while providing a first pressure. A wafer temperature of less than 20° C. is maintained. The pressure is ramped to a second pressure less than the first pressure as the plasma etches through a plurality of the plurality of silicon based bilayers. The flow of the main etch gas is stopped after a first plurality of the plurality of bilayers is etched.
    Type: Application
    Filed: September 6, 2011
    Publication date: March 7, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Anne Le Gouil, Jeffrey R. Lindain, Yasushi Ishikawa, Yoko Yamaguchi-Adams
  • Patent number: 8377253
    Abstract: In an apparatus and method of vapor etching, a sample (S) to be etched is located in a main chamber 107 from which the atmosphere inside is evacuated. Etching gas is input into the main chamber 107 for a first period of time. Thereafter, the etching gas is evacuated from the main chamber 107 and cooling/purging gas is input into the main chamber for a second interval of time. Thereafter, the cooling/purging gas is evacuated from the main chamber 107. Desirably, the steps of inputting the etching gas into the main chamber 107 for the first period of time, evacuating the etching gas from the main chamber, inputting the cooling/purging gas into the main chamber 107 for the second period of time, and evacuating the cooling/purging gas from the main chamber are repeated until samples have been etched to a desired extent.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: February 19, 2013
    Assignee: Xactix, Inc.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Patent number: 8361855
    Abstract: An method of fabricating the gate structure comprises: sequentially depositing and patterning a dummy oxide layer and a dummy gate electrode layer on a substrate; surrounding the dummy oxide layer and the dummy gate electrode layer with a nitrogen-containing dielectric layer and an interlayer dielectric layer; removing the dummy gate electrode layer; removing the dummy oxide layer by exposing a surface of the dummy oxide layer to a vapor mixture comprising NH3 and a fluorine-containing compound at a first temperature; heating the substrate to a second temperature to form an opening in the nitrogen-containing dielectric layer; depositing a gate dielectric; and depositing a gate electrode.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: January 29, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Matt Yeh, Yi-Chen Huang, Fan-Yi Hsu, Ouyang Hui
  • Patent number: 8361837
    Abstract: A method of assembling a multi-die package is achieved. A heat spreader is disposed on a printed circuit substrate. At least one integrated circuit die is disposed on a top side of the heat spreader and at least one other integrated circuit die is disposed on a bottom side of the heat spreader wherein the dies are connected to the substrate by wire bonds. Thermal solder balls are electrically connected to solderable pads of the heat spreader through the open holes of the substrate, so as to couple the heat spreader to function as a ground plane. Some of the ground pads of the dies can be bonded onto the heat spreader and the others bonded onto the substrate. Alternatively, all of the dies could only be connected to the substrate by wire bonding, and not connected to the heat spreader.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: January 29, 2013
    Assignee: Compass Technology Co. Ltd.
    Inventors: Cheng Qiang Cui, Chee Wah Cheung
  • Patent number: 8357615
    Abstract: The present invention is an apparatus for manufacturing a semiconductor device comprising: a process vessel including a stage on which a substrate is placed, the substrate having a low dielectric constant film with a resist pattern being formed in an upper layer of the low dielectric constant film; an etching-gas supply unit that supplies an etching gas into the process vessel so as to etch the low dielectric constant film; an ashing-gas unit means that supplies an ashing gas into the process vessel so as to ash the resist pattern formed in the upper layer of the low dielectric constant film after the low dielectric constant film has been subjected to an etching process; a plasma generating means that generates a plasma by supplying an energy to the etching gas and the ashing gas in the process vessel; a unit that supplies a dipivaloylmethane gas into the process vessel, after the low dielectric constant film has been subjected to an ashing process, in order to recover a damage layer of the low dielectric con
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: January 22, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Yuki Chiba, Eiichi Nishimura, Ryuichi Asako
  • Patent number: 8338309
    Abstract: A method for forming a deep trench in a semiconductor device includes: forming a hard mask over a substrate, forming a hard mask pattern over the substrate through etching the hard mask to thereby expose an upper portion of the substrate, forming a first trench through a first etching the exposed substrate using a gas containing bromide and a gas containing chloride and forming a second trench through a second etching the first trench using of a gas containing sulfur and fluorine, wherein a depth of the second trench is deeper than a depth of the first trench.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: December 25, 2012
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Won-Kwon Lee
  • Patent number: 8328981
    Abstract: A plasma etching apparatus includes a vacuum processing chamber; a lower electrode, i.e., a mounting table for mounting the substrate, provided in the vacuum processing chamber; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas to the vacuum processing chamber; a high frequency power supply unit for supplying a high frequency power to the lower electrode; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In a method for performing a plasma etching on a substrate by using the plasma etching apparatus, a plasma is generated in the vacuum processing chamber to perform the plasma etching on the substrate by using the plasma after the focus ring is heated by supplying a high frequency power from the high frequency power supply unit to the lower electrode under a condition that no plasma is generated.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: December 11, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Patent number: 8329591
    Abstract: Disclosed is a means for stabilizing quality of a semiconductor device by preventing projections from being formed in the bottom of a through hole. A method of manufacturing a semiconductor device includes a process of forming a through hole reaching a metal nitride layer through an interlayer insulating layer on a semiconductor wafer on which the wiring layer, the metal nitride layer formed on the wiring layer, and the interlayer insulating layer covering the wiring layer and the metal nitride layer are formed. The through hole forming process includes: a first etching step of etching the interlayer insulating layer by an anisotropic etching method with the semiconductor wafer set to a first temperature; and a second etching step of etching an upper surface of metal nitride layer by an anisotropic etching method with the semiconductor wafer set to a second temperature higher than the first temperature.
    Type: Grant
    Filed: April 11, 2008
    Date of Patent: December 11, 2012
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Shinji Kawada
  • Patent number: 8288288
    Abstract: Methods that increase the overall rate of heat transfer between a substrate and a heat sink or source, e.g., in a loadlock are provided. According to various embodiments, the methods involve varying the heat transfer coefficient of a heat transfer gas in the loadlock or other chamber. The heat transfer coefficient is varied to reduce the time-dependent variation of the rate of heat transfer. As a result, the overall rate of heat transfer is improved. In certain embodiments, the methods involve varying the gas pressure of a chamber in order to affect the rate of heat transfer to a wafer within a system. By manipulating the gas pressure accordingly, the rate of heat transfer is controlled throughout the heating or cooling cycle.
    Type: Grant
    Filed: June 16, 2008
    Date of Patent: October 16, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Christopher Gage, Lee Peng Chua
  • Patent number: 8288287
    Abstract: The invention provides an etching method for realizing trench etching without causing any damages to the side walls of the trench while maintaining a high-etching rate. The plasma etching method relates to forming a groove or a hole by forming a silicon trench to a silicon substrate or a silicon substrate having a silicon oxide dielectric layer via a mixed gas plasma containing a mixed gas of SF6 and O2 or a mixed gas of SF6, O2 and SiF4 and having added thereto a gas containing hydrogen within the range of 5 to 16% (percent concentration) of the total gas flow rate of the mixed gas.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: October 16, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazuo Takata, Yutaka Kudou, Satoshi Tani
  • Patent number: 8236596
    Abstract: Multi-zone, solar cell diffusion furnaces having a plurality of radiant element (SiC) or/and high intensity IR lamp heated process zones, including baffle, ramp-up, firing, soaking and cooling zone(s). The transport of solar cell wafers, e.g., silicon, selenium, germanium or gallium-based solar cell wafers, through the furnace is implemented by use of an ultra low-mass, wafer transport system comprising laterally spaced shielded metal bands or chains carrying non-rotating alumina tubes suspended on wires between them. The wafers rest on raised circumferential standoffs spaced laterally along the alumina tubes, which reduces contamination. The bands or chains are driven synchronously at ultra-low tension by a pin drive roller or sprocket at either the inlet or outlet end of the furnace, with appropriate tensioning systems disposed in the return path. The high intensity IR flux rapidly photo-radiation conditions the wafers so that diffusion occurs >3× faster than conventional high-mass thermal furnaces.
    Type: Grant
    Filed: August 19, 2011
    Date of Patent: August 7, 2012
    Assignee: TP Solar, Inc.
    Inventors: Richard W. Parks, Luis Alejandro Rey Garcia, Peter M. Ragay
  • Patent number: 8187389
    Abstract: A resist removing device 1 functions to remove a resist from a substrate while preventing occurrence of popping phenomenon and at the same time attains reduction in cost of energy for the resist removing and has a simplified constitution. The resist removing device 1 is equipped with a chamber 2 for containing therein a substrate 16 (for example, a substrate having a high-doze ion implanted resist), and with a pressure below the atmospheric pressure, the chamber 2 is fed with ozone gas, unsaturated hydrocarbons and water vapor. The ozone gas may be an ultra-high concentrated ozone gas that is produced by subjecting an ozone containing gas to a liquefaction-separation with the aid of a vapor pressure difference and then vaporizing the liquefied ozone. For cleaning the substrate 16 thus treated, it is preferable to use ultra-pure water. The chamber 2 is equipped with a susceptor 15 for holding the substrate 16. The susceptor 15 is heated to a temperature of 100° C. or below.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: May 29, 2012
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Patent number: 8183161
    Abstract: A method and system for etching a hafnium containing material using a boron tri-chloride (BCl3) based process chemistry is described. A substrate having a hafnium containing layer, such as a layer of hafnium dioxide (HfO2) is subjected a dry etching process comprising BCl3 and an additive gas including: an oxygen-containing gas, such as O2; or a nitrogen-containing gas, such as N2; or a hydrocarbon gas (CxHy), such as CH4; or a combination of two or more thereof.
    Type: Grant
    Filed: September 12, 2006
    Date of Patent: May 22, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Luis Isidro Fernandez, Masafumi Urakawa
  • Patent number: 8173523
    Abstract: To provide a method of removing a heavy metal contained in a thinned semiconductor substrate. A method of removing a heavy metal in a semiconductor substrate of the present invention comprises: attaching, to a rear surface of the semiconductor substrate, a material that lowers a potential barrier of the rear surface of the semiconductor substrate, on a front surface of which a circuit is to be formed or is formed; applying a thermal treatment to the semiconductor substrate under a condition based on a thickness and a resistivity of the semiconductor substrate; and, depositing the heavy metal in the semiconductor substrate on the rear surface.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: May 8, 2012
    Assignee: Sumco Corporation
    Inventors: Noritomo Mitsugi, Masataka Hourai, Shuichi Samata, Kiyoshi Nagai, Kei Matsumoto
  • Publication number: 20120077347
    Abstract: A method for selectively etching a substrate is described. The method includes preparing a substrate comprising a silicon nitride layer overlying a silicon-containing contact region, and patterning the silicon nitride layer to expose the silicon-containing contact region using a plasma etching process in a plasma etching system. The plasma etching process uses a process composition having as incipient ingredients a process gas containing C, H and F, and a non-oxygen-containing additive gas, wherein the non-oxygen-containing additive gas includes H, or C, or both H and C, and excludes a halogen atom.
    Type: Application
    Filed: September 28, 2010
    Publication date: March 29, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Andrew W. METZ, Hongyun COTTLE
  • Patent number: 8135487
    Abstract: A temperature setting method of the present invention includes the steps of: measuring states of an etching pattern within the substrate for a substrate for which a series of photolithography processing including thermal processing and an etching treatment thereafter have been finished; calculating temperature correction values for regions of a thermal processing plate from measurement result of the states of the etching pattern within the substrate using a function between correction amounts for the states of the etching pattern and the temperature correction values for the thermal processing plate; and setting the temperature for each of the regions of the thermal processing plate by each of the calculated temperature correction values.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: March 13, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Megumi Jyousaka, Masahide Tadokoro, Yoshitaka Konishi, Shinichi Shinozuka, Kunie Ogata
  • Patent number: 8129284
    Abstract: A semiconductor wafer in which a carbon thin film is formed on a surface of a silicon substrate implanted with impurities is irradiated with flash light emitted from flash lamps. Absorbing the flash light causes the temperature of the carbon thin film to increase. The surface temperature of the silicon substrate implanted with impurities is therefore increased to be higher than that in a case where no thin film is formed, and the sheet resistance value can be thereby decreased. When the semiconductor wafer with the carbon thin film formed thereon is irradiated with flash light in high concentration oxygen atmosphere, since the carbon of the thin film is oxidized to be vaporized, removal of the thin film is performed concurrently with flash heating.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: March 6, 2012
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Shinichi Kato
  • Publication number: 20120052690
    Abstract: Methods and systems for temperature enhanced chucking and dechucking of resistive substrates in a plasma processing apparatus are described herein. In certain embodiments, methods and systems incorporate modulating a glass carrier substrate temperature during a plasma etch process to chuck and dechuck the carrier at first temperatures elevated relative to second temperatures utilized during plasma etching. In embodiments, one or more of plasma heat, lamp heat, resistive heat, and fluid heat transfer are controlled to modulate the carrier substrate temperature between chucking temperatures and process temperatures with each run of the plasma etch process.
    Type: Application
    Filed: April 5, 2011
    Publication date: March 1, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Sergey G. BELOSTOTSKIY, Michael G. CHAFIN, Jingbao LIU, David PALAGASHVILI
  • Publication number: 20120052688
    Abstract: The present invention relates to a plasma etching method with which a wide-gap semiconductor substrate can be etched with high accuracy. An inert gas is supplied into a processing chamber and plasma is generated from the inert gas, a bias potential is applied to a platen on which a wide-gap semiconductor substrate is placed, thereby making ions generated by the generation of plasma from the inert gas incident on the semiconductor substrate on the platen to thereby heat the semiconductor substrate. After the temperature of the semiconductor substrate reaches an etching temperature between 200° C. and 400° C., an etching gas is supplied into the processing chamber and plasma is generated from the etching gas and a bias potential is applied to the platen, thereby etching the semiconductor substrate while maintaining the temperature of the semiconductor substrate at the etching temperature.
    Type: Application
    Filed: September 6, 2010
    Publication date: March 1, 2012
    Applicant: SUMITOMO PRECISION PRODUCTS CO., LTD.
    Inventors: Akimitsu Oishi, Shoichi Murakami, Masayasu Hatashita
  • Patent number: 8093529
    Abstract: A method of stably controlling the temperature of a sample placed on a sample stage to a desired temperature by estimating a sample temperature accurately, the sample stage including a refrigerant flow path to cool the sample stage, a heater to heat the sample stage, and a temperature sensor to measure the temperature of the sample stage. This method comprises the steps of: measuring in advance the variation-with-time of supply electric power to the heater, temperature of the sample, and temperature of the temperature sensor, without plasma processing; approximating the relation among the measured values using a simultaneous linear differential equation; estimating a sample temperature from the variation-with-time of sensor temperature y1, heater electric power u1, and plasma heat input by means of the Luenberger's states observer based on the simultaneous linear differential equation used for the approximation; and performing a feedback control of sample temperature using the estimated sample temperature.
    Type: Grant
    Filed: August 19, 2008
    Date of Patent: January 10, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoyuki Kofuji, Tsunehiko Tsubone
  • Publication number: 20110269314
    Abstract: Process chambers having shared resources and methods of use are provided. In some embodiments, substrate processing systems may include a first process chamber having a first substrate support disposed within the first process chamber, wherein the first substrate support has a first heater and a first cooling plate to control a temperature of the first substrate support; a second process chamber having a second substrate support disposed within the second process chamber, wherein the second substrate support has a second heater and a second cooling plate to control a temperature of the second substrate support; and a shared heat transfer fluid source having an outlet to provide a heat transfer fluid to the first cooling plate and the second cooling plate and an inlet to receive the heat transfer fluid from the first cooling plate and the second cooling plate.
    Type: Application
    Filed: October 14, 2010
    Publication date: November 3, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JARED AHMAD LEE, JAMES P. CRUSE, ANDREW NGUYEN, CORIE LYNN COBB, MING XU, MARTIN JEFF SALINAS, ANCHEL SHEYNER
  • Patent number: 8048733
    Abstract: An method of fabricating the gate structure comprises: sequentially depositing and patterning a dummy oxide layer and a dummy gate electrode layer on a substrate; surrounding the dummy oxide layer and the dummy gate electrode layer with a nitrogen-containing dielectric layer and an interlayer dielectric layer; removing the dummy gate electrode layer; removing the dummy oxide layer by exposing a surface of the dummy oxide layer to a vapor mixture comprising NH3 and a fluorine-containing compound at a first temperature; heating the substrate to a second temperature to form an opening in the nitrogen-containing dielectric layer; depositing a gate dielectric; and depositing a gate electrode.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: November 1, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Matt Yeh, Yi-Chen Huang, Fan-Yi Hsu, Ouyang Hui
  • Patent number: 8034175
    Abstract: A method for manufacturing a semiconductor device, comprises providing a semiconductor layer deposited on a substrate with heat treatment by using a flame of a gas burner fueled by a hydrogen-and-oxygen mixed gas as a heat source.
    Type: Grant
    Filed: March 27, 2006
    Date of Patent: October 11, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Sumio Utsunomiya, Mitsuru Sato
  • Patent number: 8026181
    Abstract: By performing plasma etching on the second surface of a semiconductor wafer on the first surface of which an insulating film is placed in dividing regions and on the second surface of which a mask for defining the dividing regions are placed, the second surface being located opposite from the first surface, the insulating film is exposed from an etching bottom portion by removing portions that correspond to the dividing regions. Subsequently, by continuously performing the plasma etching in the state in which the exposed surfaces of the insulating film are charged with electric charge due to ions in the plasma, corner portions put in contact with the insulating film are removed. Subsequently, by removing the mask and thereafter performing plasma etching on the second surface, corner portions located on the second surface side are removed.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: September 27, 2011
    Assignee: Panasonic Corporation
    Inventors: Kiyoshi Arita, Akira Nakagawa
  • Patent number: 8026182
    Abstract: In this heat treatment jig and method for silicon wafers, a silicon wafer is heat-treated while being mounted on support projections provided on three support arms, having an intervening spacing, protruding from a support frame towards the center. At that time, all the support projections under the silicon wafer are positioned on a same circle within a region where a radial distance from the center is defined by 85 to 99.5% of the wafer radius, and the support arms form an angle of 120° with each other about the center. With this jig and method, free depth of a dislocation generated from a pin position can be controlled deeper than a device formation region, and a widest slip-free region where the surface is free from slip dislocation is obtained.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: September 27, 2011
    Assignee: Sumco Corporation
    Inventors: Yoshinobu Nakada, Hiroyuki Shiraki, Takeshi Hasegawa
  • Patent number: 8021564
    Abstract: A method for detecting an end point of a resist peeling process in which a resist is gasified to be peeled off by producing hydrogen radicals by catalytic cracking reaction where a hydrogen-containing gas contacts with a high-temperature catalyst, and contacting the produced hydrogen radicals with a resist on a substrate, includes monitoring one or more parameters indicating a state of the catalyst and detecting the end point of the resist peeling process based on variations of the monitored parameters. The hydrogen-containing gas may be a H2 gas. The parameters indicating the state of the catalyst may be one or more electrical parameters when a power is supplied to the catalyst. Further, the catalyst may be a filament made of a high melting point metal.
    Type: Grant
    Filed: October 5, 2007
    Date of Patent: September 20, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Isamu Sakuragi, Kazuhiro Kubota
  • Patent number: 8014895
    Abstract: An object of the present invention is to perform temperature setting of a heating plate so that a wafer is uniformly heated in an actual heat processing time. The temperature of a wafer is measured during a heat processing period from immediately after a temperature measuring wafer is mounted on the heating plate to the time when the actual heat processing time elapses. Whether the uniformity in temperature within the wafer is allowable or not is determined from the temperature of the wafer in the heat processing period, and if the determination result is negative, a correction value for a temperature setting parameter of the heating plate is calculated using a correction value calculation model from the measurement result, and the temperature setting parameter is changed.
    Type: Grant
    Filed: December 7, 2005
    Date of Patent: September 6, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Iwanaga, Nobuyuki Sata
  • Patent number: 8008209
    Abstract: A technique is described whereby temperature gradients are created within a semiconductor wafer. Temperature sensitive etching and/or deposition processes are then employed. These temperature sensitive processes proceed at different rates in regions with different temperatures. To reduce pinch off in etching processes, a temperature sensitive etch process is selected and a temperature gradient is created between the surface and subsurface of a wafer such that the etching process proceeds more slowly at the surface than deeper in the wafer. This reduces “crusting” of solid reaction products at trench openings, thereby eliminating pinch off in many cases. Similar temperature-sensitive deposition processes can be employed to produce void-free high aspect ratio conductors and trench fills.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: August 30, 2011
    Assignee: International Business Machines Corporation
    Inventors: Michael R. Sievers, Kaushik A. Kumar, Andres F. Munoz, Richard Wise
  • Patent number: 7985691
    Abstract: An organic/inorganic hybrid film represented by SiCxHyOz (x>0, y?0, z>0) is plasma-etched with an etching gas containing fluorine, carbon and nitrogen. During the etching, a carbon component is eliminated from the surface portion of the organic/inorganic hybrid film due to the existence of the nitrogen in the etching gas, to thereby reform the surface portion. The reformed surface portion is nicely plasma-etched with the etching gas containing fluorine and carbon.
    Type: Grant
    Filed: April 23, 2010
    Date of Patent: July 26, 2011
    Assignee: Panasonic Corporation
    Inventors: Kenshi Kanegae, Shinichi Imai, Hideo Nakagawa
  • Patent number: 7977246
    Abstract: A thermal anneal process for preventing formation of certain BPSG surface defects following an etch or silicon clean step using a fluorine and hydrogen chemistry. The thermal anneal process is carried out while protecting the wafer from moisture, by heating the wafer to a sufficiently high temperature for a sufficient duration of time to thermally diffuse boron and/or phosphorus materials separated from silicon near the surface of the doped glass layer into the bulk of the layer. The thermal anneal process is completed by cooling the wafer to a sufficiently low temperature to fix the distribution of the boron and/or phosphorus materials in bulk of the doped glass layer.
    Type: Grant
    Filed: July 15, 2008
    Date of Patent: July 12, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Haichun Yang, Chien-Teh Kao, Xinliang Lu, Mei Chang
  • Patent number: 7972966
    Abstract: The present invention in one embodiment provides an etch method that includes providing a structure including a tungsten (W) portion and a titanium nitride (TiN) portion; applying a first etch feed gas of sulfur hexafluoride (SF6) and oxygen (O2), in which the ratio of sulfur hexafluoride (SF6) to oxygen (O2) ranges from 1:3.5 to 1:4.5; and applying a second etch feed gas of nitrogen trifluoride (NF3), helium (He) and chlorine (Cl2), in which the ratio of nitrogen trifluoride (NF3) to chlorine (Cl2) ranges from 1:5 to 2:5 and the ratio of helium (He) to nitrogen trifluoride (NF3) and chlorine (Cl2) ranges from 1:3 to 1:1.
    Type: Grant
    Filed: May 19, 2009
    Date of Patent: July 5, 2011
    Assignee: International Business Machines Corporation
    Inventors: Matthew J. Breitwisch, Eric A. Joseph, Chung H. Lam, Alejandro G. Schrott, Brandon Yee
  • Patent number: 7968441
    Abstract: A method and apparatus for forming a semiconductor device. A semiconductor substrate is implanted with dopants. The substrate is subjected to a cleaning process employing electrically neutral nitrogen and fluorine radicals to produce an oxygen-free surface having dangling bonds. Before any further exposure to oxidizing gases, the substrate is annealed by thermal treatment to activate and distribute the dopants. A gate oxide layer is formed over the annealed surface. The apparatus performs all such treatments without breaking vacuum.
    Type: Grant
    Filed: October 8, 2008
    Date of Patent: June 28, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Zhi Xu
  • Publication number: 20110151674
    Abstract: A method of etching silicon-containing material is described and includes a SiConi™ etch having a greater or lesser flow ratio of hydrogen compared to fluorine than that found in the prior art. Modifying the flow rate ratios in this way has been found to reduce roughness of the post-etch surface and to reduce the difference in etch-rate between densely and sparsely patterned areas. Alternative means of reducing post-etch surface roughness include pulsing the flows of the precursors and/or the plasma power, maintaining a relatively high substrate temperature and performing the SiConi™ in multiple steps. Each of these approaches, either alone or in combination, serve to reduce the roughness of the etched surface by limiting solid residue grain size.
    Type: Application
    Filed: December 23, 2009
    Publication date: June 23, 2011
    Applicant: Applied Materials, Inc.
    Inventors: JING TANG, Nitin Ingle, Dongqing Yang
  • Publication number: 20110127641
    Abstract: By means of an RIE etch process for silicon (3), a pin-type structure (4,4a) without crystal defects is formed with high aspect ratio and with nano dimensions on the surface of silicon wafers without any additional patterning measures (e-beam, interference lithography, and the like) by selecting the gas components of the etch plasma in self-organization wherein, among others, a broadband antireflective behaviour is obtained that may be applicable in many fields.
    Type: Application
    Filed: October 10, 2006
    Publication date: June 2, 2011
    Inventors: Konrad Bach, Daniel Gaebler, Michael Fischer, Mike Stubenrauch
  • Patent number: 7928013
    Abstract: A rework method of a gate insulating layer of a thin film transistor includes the following steps. First, a substrate including a silicon nitride layer, which serves as a gate insulating layer, disposed thereon. Subsequently, a first film removal process is performed to remove the silicon nitride layer. The first film removal process includes an inductively coupled plasma (ICP) etching process. The ICP etching process is carried out by introducing gases including sulfur hexafluoride and oxygen. The ICP etching process has an etching selectivity ratio of the silicon nitride layer to the substrate, which is substantially between 18 and 30.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: April 19, 2011
    Assignee: AU Optronics Corp.
    Inventors: Chia-Hsu Chang, Pei-Yu Chen
  • Patent number: 7923374
    Abstract: In a metal film production apparatus, a copper plate member is etched with a Cl2 gas plasma within a chamber to form a precursor comprising a Cu component and a Cl2 gas; and the temperatures of the copper plate member and a substrate and a difference between their temperatures are controlled as predetermined, to deposit the Cu component of the precursor on the substrate, thereby forming a film of Cu. In this apparatus, Cl* is formed in an excitation chamber of a passage communicating with the interior of the chamber to flow a Cl2 gas, and the Cl* is supplied into the chamber to withdraw a Cl2 gas from the precursor adsorbed onto the substrate, thereby promoting a Cu film formation reaction. The apparatus has a high film formation speed, can use an inexpensive starting material, and can minimize impurities remaining in the film.
    Type: Grant
    Filed: May 26, 2009
    Date of Patent: April 12, 2011
    Assignee: Canon Anelva Corporation
    Inventors: Hitoshi Sakamoto, Naoki Yahata, Toshihiko Nishimori, Yoshiyuki Ooba, Hiroshi Tonegawa, Ikumasa Koshiro, Yuzuru Ogura
  • Patent number: 7915058
    Abstract: The present invention provides a method for manufacturing a substrate having a pattern that is capable of controlling the distance between adjacent film patterns, and also provides a method for manufacturing a substrate, particularly, having a pattern with a narrow width and a thickness that is capable of controlling the width between the film patterns. The present invention provides a method for manufacturing a substrate having a conductive film that serves as an antenna with a little variation in inductance and has a large electromotive force, and provides a method for manufacturing a semiconductor device with high yield. After forming a film in which silicon and oxygen are combined and an inactive group is combined with the silicon over a substrate, an insulating film, or a conductive film, a composition is printed by the printing method thereover, and is baked to form a film pattern.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: March 29, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tomoyuki Aoki, Koji Dairiki
  • Publication number: 20110065279
    Abstract: A method of processing a workpiece in a plasma reactor having an electrostatic chuck for supporting the workpiece within a reactor chamber, the method including circulating a coolant through a refrigeration loop that includes an evaporator inside the electrostatic chuck, while pressurizing a workpiece-to-chuck interface with a thermally conductive gas, sensing conditions in the chamber including temperature near the workpiece and simulating heat flow through the electrostatic chuck in a thermal model of the chuck based upon the conditions.
    Type: Application
    Filed: November 18, 2010
    Publication date: March 17, 2011
    Inventors: Douglas A. Buchberger, JR., Paul Lukas Brillhart, Richard Fovell, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Publication number: 20110053380
    Abstract: A method of etching silicon-and-carbon-containing material is described and includes a SiConi™ etch in combination with a flow of reactive oxygen. The reactive oxygen may be introduced before the SiConi™ etch reducing the carbon content in the near surface region and allowing the SiConi™ etch to proceed more rapidly. Alternatively, reactive oxygen may be introduced during the SiConi™ etch further improving the effective etch rate.
    Type: Application
    Filed: August 31, 2009
    Publication date: March 3, 2011
    Applicant: Applied Materials, Inc.
    Inventors: Kedar Sapre, Jing Tang, Linlin Wang, Abhijit Basu Mallick, Nitin Ingle
  • Patent number: 7883628
    Abstract: A method for reducing the roughness of a free surface of a semiconductor wafer that includes establishing a first atmosphere in an annealing chamber, replacing the first atmosphere with a second atmosphere that includes a gas selected to and in an amount to substantially eliminate or reduce pollutants on a wafer, and exposing the free surface of the wafer to the second atmosphere to substantially eliminate or reduce pollutants thereon. The second atmosphere is then replaced with a third atmosphere that includes pure, and rapid thermal annealing is performed on the wafer exposed to the third atmosphere in the annealing chamber to substantially reduce the roughness of the free surface of the wafer.
    Type: Grant
    Filed: July 27, 2005
    Date of Patent: February 8, 2011
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Eric Neyret, Ludovic Ecarnot, Emmanuel Arene