Silicide Patents (Class 438/721)
  • Patent number: 7115523
    Abstract: A process is provided for etching a silicon based material in a substrate, such as a photomask, to form features with straight sidewalls, flat bottoms, and high profile angles between the sidewalls and bottom, and minimizing the formation of polymer deposits on the substrate. In the etching process, the substrate is positioned in a processing chamber, a processing gas comprising a fluorocarbon, which advantageously is a hydrogen free fluorocarbon, is introduced into the processing chamber, wherein the substrate is maintained at a reduced temperature, and the processing gas is excited into a plasma state at a reduced power level to etch the silicon based material of the substrate. The processing gas may further comprise an inert gas, such as argon.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: October 3, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Brigitte C. Stoehr, Michael D. Welch, Melisa J. Buie
  • Patent number: 7112535
    Abstract: A process is disclosed for fabricating precision polysilicon resistors which more precisely control the tolerance of the sheet resistivity of the produced polysilicon resistors. The process generally includes performing an emitter/FET activation rapid thermal anneal (RTA) on a wafer having partially formed polysilicon resistors, followed by steps of depositing a protective dielectric layer on the polysilicon, implanting a dopant through the protective dielectric layer into the polysilicon to define the resistance of the polysilicon resistors, and forming a silicide.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: September 26, 2006
    Assignee: International Business Machines Corporation
    Inventors: Douglas D. Coolbaugh, Heidi L. Greer, Robert M. Rassel
  • Patent number: 7071114
    Abstract: A method and apparatus for dry etching changes at least one of the effective pumping speed of a vacuum chamber and the gas flow rate to alter the processing of an etching pattern side wall of a sample between first and second conditions. The first and second conditions include the presence or absence of a deposit film, or the presence, absence or shape of a taper angle. Various parameters for controlling the first and second conditions are contemplated.
    Type: Grant
    Filed: April 1, 2003
    Date of Patent: July 4, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Takao Kumihashi, Kazunori Tsujimoto, Shinichi Tachi
  • Patent number: 7067391
    Abstract: A new method to form metal silicide gates in the fabrication of an integrated circuit device is achieved. The method comprises forming polysilicon lines overlying a substrate with a dielectric layer therebetween. A first isolation layer is formed overlying the substrate and the sidewalls of the polysilicon lines. The first isolation layer does not overlie the top surface of the polysilicon lines. The polysilicon lines are partially etched down such that the top surfaces of the polysilicon lines are below the top surface of the first isolation layer. A metal layer is deposited overlying the polysilicon lines. A thermal anneal is used to completely convert the polysilicon lines to metal silicide gates. The unreacted metal layer is removed to complete the device.
    Type: Grant
    Filed: February 17, 2004
    Date of Patent: June 27, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bor-Wen Chan, Chih-Hao Wang, Lawrance Hsu, Hun-Jan Tao
  • Patent number: 7067417
    Abstract: A contact hole can be formed in an insulating layer to expose a surface of an underlying silicon layer at a bottom of the contact hole having a first size. A metal silicide layer can be formed beneath the bottom of the contact hole and removed to form a void beneath the contact hole having a second size that is greater than the first size.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: June 27, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-sook Park, Gil-heyun Choi, Jong-myeong Lee
  • Patent number: 7041601
    Abstract: A method of manufacturing a MOSFET type semiconductor device includes forming a fin structure and a dummy gate structure over the fin structure. Sidewall spacers may be formed adjacent the dummy gate structure. The dummy gate structure may be later removed and replaced with a metal layer that is formed at a high temperature (e.g., 600°–700° C.). The cooling of the metal layer induces strain to the fin structure that affects the mobility of the double-gate MOSFET.
    Type: Grant
    Filed: September 3, 2003
    Date of Patent: May 9, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Bin Yu, Haihong Wang
  • Patent number: 7022618
    Abstract: In one implementation, an etching process includes forming a carbon containing material over a substrate and plasma etching at a temperature of at least 400° C. using a hydrogen or oxygen containing plasma. In one implementation, a plasma etching process includes forming openings in a masking layer over a substrate and etching material beneath the masking through the openings. The masking layer is removed and the substrate is plasma etched at a temperature of at least 400° C. In one implementation, an etching process includes forming a residue over the substrate during a first etching and subsequently plasma etching to remove the residue. In one implementation, a chemical vapor deposition process includes positioning a semiconductor substrate within a plasma enhanced chemical vapor deposition reactor, plasma etching using a first gas chemistry, depositing a material over the substrate within the reactor using a second gas chemistry.
    Type: Grant
    Filed: September 18, 2003
    Date of Patent: April 4, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Sujit Sharan, Gurtej S. Sandhu, Guy T. Blalock
  • Patent number: 7005387
    Abstract: According to one exemplary embodiment, a method for forming a contact over a silicide layer situated in a semiconductor die comprises a step of depositing a barrier layer on sidewalls of a contact hole and on a native oxide layer situated at a bottom of the contact hole, where the sidewalls are defined by the contact hole in a dielectric layer. The step of depositing the barrier layer on the sidewalls of the contact hole and on the native oxide layer can be optimized such that the barrier layer has a greater thickness at a top of the contact hole than a thickness at the bottom of the contact hole. According to this exemplary embodiment, the method further comprises a step of removing a portion of the barrier layer and the native oxide layer situated at the bottom of the contact hole to expose the silicide layer.
    Type: Grant
    Filed: November 8, 2003
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Dawn Hopper, Hiroyuki Kinoshita, Christy Woo
  • Patent number: 6872322
    Abstract: A process for etching multiple layers on a substrate 25 in an etching chamber 30 and cleaning a multilayer etchant residue formed on the surfaces of the walls 45 and components of the etching chamber 30. In multiple etching steps, process gas comprising different compositions of etchant gas is used to etch layers on the substrate 25 thereby depositing a compositionally variant etchant residue inside the chamber 30. In one cleaning step, a first cleaning gas is added to the process gas to clean a first residue or to suppress deposition of the first residue onto the chamber surfaces. In a second cleaning step, another residue composition is cleaned off the chamber surfaces using a second cleaning gas composition.
    Type: Grant
    Filed: July 27, 1999
    Date of Patent: March 29, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Waiching Chow, Raney Williams, Thorsten B. Lill, Arthur Y. Chen
  • Patent number: 6867118
    Abstract: A semiconductor substrate has a memory region and a logic region isolated by an isolation insulating film. Plural memory transistors are provided in the form of a matrix in the memory region, and a logic transistor is provided in the logic region. Gate electrodes of memory transistors arranged along the word line direction out of the plural memory transistors are formed as a common gate electrode extending along the word line direction, and impurity diffusion layers working as source/drain regions of memory transistors arranged along the bit line direction are formed as a common impurity diffusion layer extending along the bit line direction. An inter-gate insulating film having its top face at a lower level than the gate electrodes is formed on the semiconductor substrate between the gate electrodes of the plural memory transistors. A sidewall insulating film is formed on the side face of a gate electrode of the logic transistor.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: March 15, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Fumihiko Noro
  • Patent number: 6852242
    Abstract: A substrate processing apparatus has a chamber with a substrate transport to transport a substrate onto a substrate support in the chamber, a gas supply to provide a gas in the chamber, a gas energizer to energize the gas, and a gas exhaust to exhaust the gas. A controller operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to set etching process conditions in the chamber to etch a plurality of substrates, thereby depositing etchant residues on surfaces in the chamber. The controller also operates one or more of the substrate support, gas supply, gas energizer, and gas exhaust, to set cleaning process conditions in the chamber to clean the etchant residues. The cleaning process conditions comprise a volumetric flow ratio of O2 to CF4 of from about 1:1 to about 1:40.
    Type: Grant
    Filed: February 23, 2001
    Date of Patent: February 8, 2005
    Inventors: Zhi-Wen Sun, Anbei Jiang, Tuo-Chuan Huang
  • Patent number: 6812080
    Abstract: As shown in FIG. 1(a), a gate oxide film 12 is formed on an Si substrate 11. A polysilicon layer 13 is formed thereon. A natural oxide film 14 having an arbitrary thickness is formed on the polysilicon layer 13 after phosphorus is made to diffuse into the polysilicon layer 13 and before a resist layer is coated. Thus, as shown in FIG. 1(b), the natural oxide film 14 present on the polysilicon layer 13 is removed by DHF cleaning (cleaning with dilute HF). Thereafter, a resist layer 15 is coated onto the polysilicon layer 13, and is patterned. A polysilicon gate electrode G is formed by dry-etching using the resist layer 15 as a mask.
    Type: Grant
    Filed: April 5, 2002
    Date of Patent: November 2, 2004
    Assignee: Seiko Epson Corporation
    Inventor: Hirofumi Kobayashi
  • Patent number: 6797188
    Abstract: A method of etching a silicon-containing material in a substrate comprises placing the substrate in a process chamber and exposing the substrate to an energized gas comprising fluorine-containing gas, chlorine-containing gas and sidewall-passivation gas. The silicon-containing material on the substrate comprises regions having different compositions, and the volumetric flow ratio of the fluorine-containing gas, chlorine-containing gas, and sidewall-passivation gas is selected to etch the compositionally different regions at substantially similar etch rates.
    Type: Grant
    Filed: February 18, 2000
    Date of Patent: September 28, 2004
    Inventors: Meihua Shen, Wei-nan Jiang, Oranna Yauw, Jeffrey Chinn
  • Patent number: 6798038
    Abstract: Forming of a first silicon oxide film is started on an internal surface of a trench formed on a surface or upwardly of a semiconductor substrate according to an HDP technique. Then, deposition of the first silicon oxide film stops before an opening of the trench closes. Further, the first silicon oxide film deposited in the vicinity of an opening is etched, and a second silicon oxide film is formed on the first silicon oxide film deposited on the bottom of the trench according to the HDP technique. In this manner, the first and second silicon oxide films can be laminated on the bottom of the trench.
    Type: Grant
    Filed: May 9, 2002
    Date of Patent: September 28, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuhiro Sato, Masayuki Ichige, Seiichi Mori, Yuji Takeuchi, Hiroaki Hazama, Yukio Nishiyama, Hirotaka Ogihara, Naruhiko Kaji
  • Publication number: 20040147133
    Abstract: A method for reducing the contact resistance. Aims at the problems that the cleaning process could not effectively remove both the residues and oxides on the etched surface, the invention perform a plasma treating process after the cleaning process and before any following process. Herein, the plasma treating process uses the plasma(s) to physically and/or chemically react with the etched surface. For example, uses an inert gas plasma to remove these residues and the oxides, and then uses a hydrogen plasma to compensate the non-saturated bonds induced by the ions bombardment of the inert gas plasma.
    Type: Application
    Filed: January 29, 2003
    Publication date: July 29, 2004
    Inventors: Yu-Chou Lee, Min-Ching Hsu
  • Patent number: 6767835
    Abstract: In one illustrative embodiment, the method comprises forming a gate insulation layer above a substrate, forming a layer of polysilicon above the gate insulation layer, implanting a dopant material into the layer of polysilicon, forming an undoped layer of polysilicon above the doped layer of polysilicon and performing an etching process on the undoped layer of polysilicon and the doped layer of polysilicon to define a gate electrode having a width at an upper surface that is greater than a width of the gate electrode at a base of the gate electrode. In further embodiments, the method comprises forming a layer of refractory metal above the gate electrode and performing at least one heating process to form a metal silicide region on the gate electrode structure.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: July 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Homi E. Nariman, David E. Brown
  • Patent number: 6743683
    Abstract: Fabricating a semiconductor structure includes providing a semiconductor substrate, forming a silicide layer over the substrate, and removing a portion of the silicide layer by chemical mechanical polishing. The fabrication of the structure can also include forming a dielectric layer after forming the silicide layer, and removing a portion of the dielectric layer by chemical mechanical polishing before removing the portion of the silicide layer.
    Type: Grant
    Filed: December 4, 2001
    Date of Patent: June 1, 2004
    Assignee: Intel Corporation
    Inventors: Chris E. Barns, Mark Doczy
  • Patent number: 6743715
    Abstract: A method for forming a gate silicide portion comprising the following steps. A substrate having a gate oxide layer formed is provided. A gate layer is formed over the gate oxide layer. An RPO layer is formed over the gate layer. A patterned photoresist layer is formed over the RPO layer exposing a portion of the RPO layer. The portion of the RPO layer having a patterned photoresist residue thereover. The structure is subjected to a dry plasma or gas treatment to clean the exposed portion of the RPO layer and removing the patterned photoresist residue. The RPO layer is etched using the patterned photoresist layer as a mask to expose a portion of the gate layer. The dry plasma or gas treatment preventing formation of defects or voids in the RPO layer and the poly gate layer during etching of the RPO layer. A metal layer is formed over at least the exposed portion of the gate layer.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: June 1, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Juing-Yi Cheng, Yu Bin Huang, Yu Hwa Lee, Chin Shiung Ho
  • Patent number: 6713397
    Abstract: A gate electrode layer formed on a semiconductor substrate is etched. A gate electrode is formed while forming metal system sub-products onto the side walls of the gate electrode layer. The metal system sub-products formed on the side walls of the gate electrode layer are oxidized. The oxidized metal system sub-products are removed by a solution whose etching rate for the gate insulative film has been adjusted to 10 Å/min or less.
    Type: Grant
    Filed: August 30, 2001
    Date of Patent: March 30, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Yasutaka Kobayashi
  • Patent number: 6686295
    Abstract: A method to anisotropically etch an oxide/silicide/poly sandwich structure on a silicon wafer substrate in situ, that is, using a single parallel plate plasma reactor chamber and a single inert cathode, with a variable gap between cathode and anode. This method has an oxide etch step and a silicide/poly etch step. The fully etched sandwich structure has a vertical profile at or near 90° from horizontal, with no bowing or notching.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: February 3, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Rod C. Langley
  • Patent number: 6667233
    Abstract: A method for forming a silicide layer of a semiconductor memory device is disclosed. A silicide layer is formed in an impurity junction region through a contact hole exposing the impurity junction region on a semiconductor substrate. Here, two thermal annealing processes are performed on the semiconductor substrate on which a metal layer is deposited, by using low and high temperature up speeds and maintaining the semiconductor substrate under the highest temperature for less than one second, and then dropping the temperature at high speed. The process for removing a portion of the metal layer which did not react is carried out. As a result, a shallow junction can be formed in a very small devices, and deterioration of an electrical property of the semiconductor device is minimized by reducing junction leakage current, which results in the rapid operation of the device.
    Type: Grant
    Filed: December 24, 2002
    Date of Patent: December 23, 2003
    Assignee: Hynix Semiconductor Inc
    Inventors: Chang Woo Ryoo, Jeong Youb Lee, Yong Sun Sohn
  • Patent number: 6660647
    Abstract: A surface processing method of a sample having a mask layer that does not contain carbon as a major component formed on a substance to be processed, the substance being a metal, semiconductor and insulator deposited on a silicon substrate, includes the steps of installing the sample on a sample board in a vacuum container, generating a plasma that consists of a mixture of halogen gas and adhesive gas inside the vacuum container, applying a radio frequency bias voltage having a frequency ranging from 200 kHz to 20 MHz on the sample board, and controlling a periodic on-off of the radio frequency bias voltage with an on-off control frequency ranging from 100 Hz to 10 kHz.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: December 9, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Takafumi Tokunaga, Tadashi Umezawa, Motohiko Yoshigai, Tatsumi Mizutani, Tokuo Kure, Masayuki Kojima, Takashi Sato, Yasushi Goto
  • Patent number: 6656847
    Abstract: The invention provides a method for etching silicon nitride selective to titanium silicide and fabricating multi-level contact openings on a quartermicron device using a two step etch process. The process begins by providing a substrate having thereover a silicon nitride hard mask at one level and a titanium silicide layer at another level wherein the silicon nitride hard mask and the titanium silicide region have an oxide layer thereover. In a first etch step, the oxide layer is patterned to form a first contact opening and a second contact opening. The first contact opening stops on the silicon nitride hard mask and the second contact opening stops on the titanium silicide region. In a second etch step the silicon nitride hard mask is etched through in the first contact opening using an etch selective to titanium silicide. The etch comprises CH2F2 and O2 at a ratio of CH2F2 to O2 of between about 2 and 4.
    Type: Grant
    Filed: November 1, 1999
    Date of Patent: December 2, 2003
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Huan Just Lin, Chia-Shiung Tsai
  • Patent number: 6632740
    Abstract: Sub-micron dimensioned, ultra-shallow junction MOS and/or CMOS transistor devices are fomxed by a salicide process wherein a blanket nickel layer is formed in contact with the exposed portions of the substrate surface adjacent the sidewall spacers, the top surface of the gate electrode, and the sidewall spacers. Embodiments include forming the blanket layer of nickel is formed by the sequential steps of: (i) forming a layer of nickel by sputtering with nitrogen gas; and, (ii) forming a layer of nickel by sputtering with argon gas. The two step process for forming the blanket layer of nickel advantageously prevents the formation of nickel silicide on the outer surfaces of the insulative sidewall spacers.
    Type: Grant
    Filed: February 4, 2002
    Date of Patent: October 14, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jacques J. Bertrand, George J. Kluth
  • Patent number: 6613673
    Abstract: A method for forming a gate stack which minimizes or eliminates damage to the gate dielectric layer and/or silicon substrate during the gate stack formation by the reduction of the temperature during formation. The temperature reduction prevents the formation of silicon clusters within the metallic silicide film in the gate stack which has been found to cause damage during the gate etch step. The present invention also includes methods for dispersing silicon clusters prior to the gate etch step.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: September 2, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Pai-Hung Pan, Louie Liu, Ravi Iyer
  • Patent number: 6592771
    Abstract: A method in which etching or ashing is conducted by providing satisfactory kinetic energy of reaction seeds such as ions or radicals without damaging a substrate, and an apparatus used in this method are provided. A predetermined film of for example polycrystalline silicon on the substrate is etched in vapor phase using reaction seeds or precursors thereof generated by contacting a reaction gas such as CF4 with a heated catalyst of for example tungsten.
    Type: Grant
    Filed: April 7, 2000
    Date of Patent: July 15, 2003
    Assignee: Sony Corporation
    Inventors: Hideo Yamanaka, Kikuo Kaise
  • Patent number: 6583065
    Abstract: A process of reducing critical dimension (CD) microloading in dense and isolated regions of etched features of silicon-containing material on a substrate uses a plasma of an etchant gas and an additive gas. In one version, the etchant gas comprises halogen species absent fluorine, and the additive gas comprises fluorine species and carbon species, or hydrogen species and carbon species.
    Type: Grant
    Filed: August 3, 1999
    Date of Patent: June 24, 2003
    Assignee: Applied Materials Inc.
    Inventors: Raney Williams, Jeffrey Chinn, Jitske Trevor, Thorsten B. Lill, Padmapani Nallan, Tamas Varga, Herve Mace
  • Patent number: 6551940
    Abstract: Disclosed is a process of using undoped silicon dioxide as an etch mask for selectively etching doped silicon dioxide for forming a designated topographical structure. In one embodiment, a doped silicon dioxide layer is formed over a semiconductor substrate. An undoped silicon dioxide layer is formed and patterned over the doped silicon dioxide layer. Doped silicon dioxide is selectively removed from the doped silicon dioxide layer through the pattern by use of a plasma etch or another suitable etch that removes doped silicon dioxide at a rate greater than that of undoped silicon dioxide. The process may be used to form contacts to the semiconductor substrate. The process may also be used to form a structure with a lower and an upper series of parallel gate stacks, where the gate stacks have upper surfaces consisting essentially of undoped silicon dioxide.
    Type: Grant
    Filed: October 27, 1997
    Date of Patent: April 22, 2003
    Assignee: Micron Technology, Inc.
    Inventor: Kei-Yu Ko
  • Patent number: 6544887
    Abstract: A method for etching contact openings into a polycide layer including a metal silicide layer and a polysilicon layer comprises providing a substrate that includes a polycide layer, forming a patterned photoresist mask, and etching with a series of plasmas. The etches include a silicide etch, a polycide etch including chlorine gas and nitrogen gas where the nitrogen flow rate is between 20% and about 30% of the sum of the nitrogen flow rate plus the chlorine flow rate, and a poly overetch. A polycide etch with a composition in the specified range will have a polycide selectivity greater than one.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: April 8, 2003
    Assignee: Lam Research Corporation
    Inventors: Win Chen, Wen-Chiang Tu
  • Patent number: 6541362
    Abstract: One aspect of the invention encompasses a method of forming a semiconductor structure. A patterned line is formed to comprise a first layer and a second layer. The first layer comprises silicon and the second layer comprises a metal. The line has at least one sidewall edge comprising a first-layer-defined portion and a second-layer-defined portion. A third layer is formed along the at least one sidewall edge. The third layer comprises silicon and is along both the first-layered-defined portion of the sidewall edge and the second-layered-defined portion of the sidewall edge. The silicon of the third layer is reacted with the metal of the second layer to form a silicide along the second-layer-defined portion of the sidewall edge. The silicon of the third layer is removed to leave the silicon of the first layer, the metal of the second layer, and the silicide.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: April 1, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Leonard Forbes, Kie Y. Ahn, Luan C. Tran
  • Patent number: 6518206
    Abstract: A method for etching and removing an anti-reflective coating from a substrate. The method comprises providing a substrate supporting a conductive layer (a tungsten-silicide layer) having an anti-reflective coating (e.g., a dielectric anti-reflective coating) disposed thereon. The anti-reflective coating is etched with an etchant gas consisting of NF3 and Cl2 to break through and to remove at least a portion of the anti-reflective coating to expose at least part of the conductive layer. The conductive layer is subsequently etched with the etchant gas to produce an anti-reflective coating gate structure which is used in semiconductor integrated circuits containing transistors.
    Type: Grant
    Filed: May 8, 2000
    Date of Patent: February 11, 2003
    Assignee: Applied Materials Inc.
    Inventors: Ajay Kumar, Jeffrey Chinn
  • Patent number: 6503844
    Abstract: A notched gate configuration for high performance integrated circuits. The method of producing the notched gate configuration comprises forming a dielectric substrate and depositing a gate oxide layer, a conductive film layer, and a metal silicide layer over the gate oxide layer to form a conductive stack. A patterned silicon nitride mask layer is deposited over the conductive stack and over-etched to form a small notch in the metal silicide layer at each side of the patterned silicon nitride mask layer. This over-etching causes indentions to form in the conductive stack to result in decreased gate overlap between the gate and a source and drain which are later formed.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: January 7, 2003
    Assignee: Infineon Technologies, AG
    Inventor: Giuseppe Curello
  • Publication number: 20020192973
    Abstract: A method to anisotropically etch an oxide/silicide/poly sandwich structure on a silicon wafer substrate in situ, that is, using a single parallel plate plasma reactor chamber and a single inert cathode, with a variable gap between cathode and anode. This method has an oxide etch step and a silicide/poly etch step. The fully etched sandwich structure has a vertical profile at or near 90° from horizontal, with no bowing or notching.
    Type: Application
    Filed: August 14, 2002
    Publication date: December 19, 2002
    Inventor: Rod C. Langley
  • Patent number: 6495471
    Abstract: The present invention is directed toward building a microelectronic device in which a semiconductor substrate has thereon an etch buffer layer used in a processing method in which the buffer layer will act as an etch uniformity aid. In a method of making the microelectronic device, a semiconductor substrate is covered with an etch buffer layer and with an insulative layer. A first etch is performed by patterning and etching through a mask. The first etch penetrates the insulative layer, forms a cavity therein, and is selective to the buffer layer so as to expose the buffer layer. A second etch is performed that is selective to the insulative layer and the semiconductor substrate, and is not selective to the buffer layer. The buffer layer can be an insulative material of a type other than the material of the insulative layer or the buffer layer can also be of a conductive material.
    Type: Grant
    Filed: February 16, 2001
    Date of Patent: December 17, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Zhiqiang Wu, Kunal R. Parekh
  • Patent number: 6486069
    Abstract: Method and apparatus for etching a silicide stack including etching the silicide layer at a temperature elevated from that used to etch the rest of the layers in order to accomplish anisotropic etch.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: November 26, 2002
    Assignee: Tegal Corporation
    Inventors: Steven Marks, Leslie G. Jerde, Stephen P. DeOrnellas
  • Patent number: 6465364
    Abstract: The present invention provides a method for the formation of contact plugs of an embedded memory. The method first forms a plurality of MOS transistors on a defined memory array region and periphery circuit region of the semiconductor wafer. Then, a first dielectric layer is formed on the memory array region, and plurality of landing pads is formed in the first dielectric layer. Next, both a stop layer and a second dielectric layer are formed, respectively, on the surface of semiconductor wafer. A PEP process is then used to form a plurality of contact plug holes in the second dielectric layer in both the memory array region and the periphery circuit region. Finally, a conductive layer is filled into each hole to form in-situ each contact plug in both the memory array region and the periphery circuit region.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: October 15, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Sun-Chieh Chien, Chien-Li Kuo
  • Patent number: 6461976
    Abstract: A method to anisotropically etch an oxide/silicide/poly sandwich structure on a silicon wafer substrate in situ, that is, using a single parallel plate plasma reactor chamber and a single inert cathode, with a variable gap between cathode and anode. This method has an oxide etch step and a silicide/poly etch step. The fully etched sandwich structure has a vertical profile at or near 90° from horizontal, with no bowing or notching.
    Type: Grant
    Filed: May 16, 2000
    Date of Patent: October 8, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Rod C. Langley
  • Patent number: 6458284
    Abstract: A TiSiN (titanium silicon nitride) film or a multilayered film comprised of a TiSiN film and a TiSi film is used as a hard mask. The TiSiN film (1a) has good adherence to and a high etch selectivity to metal (2), and TiSi is a material having a higher etch selectivity to metal than TiSiN. The use of these materials as an etch mask solves problems with a conventional hard mask such as an SiO2 film. The use of the TiSiN film also as a barrier metal layer (3) allows the process to proceed rapidly in the steps of forming and removing the hard mask and the barrier metal layer. An etching method uses the hard mask made of the material which has good adherence to and a high etch selectivity to an electrode material and which requires the uncomplicated steps of forming and removing the same.
    Type: Grant
    Filed: February 1, 2000
    Date of Patent: October 1, 2002
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Keiichiro Kashihara
  • Patent number: 6444587
    Abstract: Within a method for forming a plasma etched layer, there is first provided a substrate. There is then formed over the substrate a microelectronic layer. There is then etched within a plasma reactor chamber, and while employing a plasma etch method, the microelectronic layer to form a plasma etched microelectronic layer. Finally, there is then purged the plasma reactor chamber with an inert purge gas, without subsequently evacuating the plasma reactor chamber, prior to removing the substrate having formed thereover the plasma etched microelectronic layer from the plasma reactor chamber. In an alternative there is purged a load lock chamber integral to the plasma reactor chamber with an inert purge gas, without subsequently evacuating the load lock chamber, prior to removing the substrate having formed thereover the plasma etched microelectronic layer from the load lock chamber.
    Type: Grant
    Filed: October 2, 2000
    Date of Patent: September 3, 2002
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mu-Tsang Lin, Pin-Yi Hsin
  • Patent number: 6440867
    Abstract: A semiconductor structure and method for making the same provides a metal gate on a silicon substrate. The gate includes a high dielectric constant on the substrate, and a physical vapor deposited (PVD) layer of amorphous silicon on the high k gate dielectric. The metal is then formed on the PVD amorphous silicon layer. An annealing process forms silicide in the gate, with a layer of silicon remaining unreacted. The work function of the metal gate is substantially the same as a polysilicon gate due to the presence of the PVD amorphous silicon layer.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: August 27, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Qi Xiang, Matthew S. Buynoski
  • Patent number: 6440868
    Abstract: A semiconductor structure and method for making the same provides a metal gate on a silicon substrate. The gate includes a high dielectric constant on the substrate, and a chemical vapor deposited layer of amorphous silicon on the high k gate dielectric. The metal is then deposited on the CVD amorphous silicon layer. An annealing process forms silicide in the gate, with a layer of silicon remaining unreacted. The work function of the metal gate is substantially the same as a polysilicon gate due to the presence of the CVD amorphous silicon layer.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: August 27, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Qi Xiang, Matthew S. Buynoski
  • Patent number: 6436840
    Abstract: A semiconductor structure and method for making the same provides a metal gate on a silicon substrate. The gate includes a high dielectric constant on the substrate, and a chemical vapor deposited layer of amorphous silicon on the high k gate dielectric. A barrier is then deposited on the CVD amorphous silicon layer. A metal is then formed on the barrier. The work function of the metal gate is substantially the same as a polysilicon gate due to the presence of the CVD amorphous silicon layer. The work function is preserved by the barrier during subsequent high temperature processing, due to the barrier which prevents interaction between the CVD amorphous silicon layer and the metal, which could otherwise form silicide and change the work function.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: August 20, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Paul R. Besser, Matthew S. Buynoski, Qi Xiang
  • Patent number: 6432834
    Abstract: An etching method in which the etch selectivity of a metal silicide film with respect to a polysilicon film can be increased when a polycide film is etched by plasma enhanced etching, is provided. This method is accomplished by repeating (a) plasma etching the metal silicide film with a plasma source power applied to an etch chamber, using etch gas ions accelerated by applying a bias power to a substrate, and (b) chemically adsorbing the etch gas ions on the metal silicide film and oxidizing the polysilicon film exposed using the etch gas ions, by continuously applying the plasma source power to the etch chamber and preventing application of the bias power applied to the substrate or applying a level of bias power at which the etch gas ions are not accelerated.
    Type: Grant
    Filed: June 16, 2000
    Date of Patent: August 13, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jung-hyung Kim
  • Patent number: 6432829
    Abstract: An improved fin device used as the body of a field effect transistor (“FET”) and an improved process of making the fin device. The fin device allows for the fabrication of very small dimensioned metal-oxide semiconductor (“MOS”) FETs in the size range of micrometers to nanometers, while avoiding the typical short channel effects often associated with MOSFETs of these dimensions. Accordingly, higher density MOSFETs may be fabricated such that more devices may be placed on a single semiconductor wafer. The process of making the fin device results in an improved fully planarized device.
    Type: Grant
    Filed: March 8, 2001
    Date of Patent: August 13, 2002
    Assignee: International Business Machines Corporation
    Inventors: K. Paul L. Muller, Edward J. Nowak, Hon-Sum P. Wong
  • Patent number: 6420273
    Abstract: A technique for self-aligned processing of semiconductor device features is disclosed. This technique includes the formation of a semiconductor device with transistor gates having a polysilicon member that extends from the plane of a semiconductor substrate. A coating is deposited on the gates and substrate. Chemical mechanical polishing is performed to remove a portion of the coating to expose a polysilicon surface of the gates without lithographic processing. A recess is formed in the exposed polysilicon surface and at least partially filled with an etch stop material such as silicon nitride. Silicidation of the polysilicon member to form a silicide layer in the recess or a selective chemical vapor deposition on the bottom of the recess with an appropriate metal may be performed before filling the recess with the etch stop material.
    Type: Grant
    Filed: September 13, 1999
    Date of Patent: July 16, 2002
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Xi-Wei Lin
  • Publication number: 20020072233
    Abstract: A method of manufacturing a semiconductor device comprises the steps of: (a) forming a thermal oxide film on a surface of a silicon layer; (b) removing the thermal oxide film; and (c) forming a silicide film on the resulting surface of the silicon layer.
    Type: Application
    Filed: September 24, 2001
    Publication date: June 13, 2002
    Inventor: Yasuhiko Sueyoshi
  • Patent number: 6399515
    Abstract: A method for forming a patterned silicon containing layer. There is first provided a substrate. There is then formed over the substrate a blanket silicon containing layer. There is then formed over the blanket silicon containing layer a patterned photoresist layer. There is then etched, while employing a first plasma etch method in conjunction with the patterned photoresist layer as a first etch mask layer, the blanket silicon containing layer to form a partially etched blanket silicon containing layer. The first plasma etch method employs a first etchant gas composition comprising an etchant gas which upon plasma activation forms an active fluorine containing etchant species. There is then etched, while employing a second plasma etch method in conjunction with the patterned photoresist layer as a second etch mask layer the partially etched blanket silicon containing layer to form a fully patterned silicon containing layer.
    Type: Grant
    Filed: June 21, 1999
    Date of Patent: June 4, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hun-Jan Tao, Chia-Shiung Tsai
  • Publication number: 20020045355
    Abstract: A method of manufacturing a semiconductor device such as a contact structure and a gate structure having a silicide layer comprises the steps of: forming a contact hole for exposing a part of the silicon substrate by etching a part of an interdielectric layer formed on a silicon substrate; and first cleaning an exposed surface of the silicon substrate, comprising the steps of: forming a reactive layer by supplying a hydrogen gas in a plasma state and a fluorine-series gas to the silicon substrate and by chemically reacting with an oxide film formed on the exposed surface of the silicon substrate; and annealing to cause the reactive layer to be removed by vaporizing the reactive layer; forming a silicide layer on the surface of the silicon substrate exposed in the contact hole; second cleaning comprising the steps of: forming a reactive layer by supplying a hydrogen gas in a plasma state and a fluorine-series gas to the silicon substrate and by chemically reacting with an oxide film formed on the exposed surfa
    Type: Application
    Filed: January 26, 2001
    Publication date: April 18, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Seung-Pil Chong, Kyu-Hwan Chang, Yaung-Min Kwon, Sang-Lock Hah
  • Patent number: 6358788
    Abstract: Metal nitride and metal oxynitride extrusions often form on metal silicides. These extrusions can cause short circuits and degrade processing yields. The present invention discloses a method of selectively removing such extrusions. In one embodiment, a novel wet etch comprising an oxidizing agent and a chelating agent selectively removes the extrusions from a wordline in a memory array. In another embodiment, the wet etch includes a base that adjusts the pH of the etch to selectively remove certain extrusions relative to other substances in the wordline. Accordingly new metal silicide structures can be used to form novel wordlines and other types of integrated circuits.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: March 19, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Gary Chen, Li Li, Yongjun Jeff Hu
  • Publication number: 20020031915
    Abstract: A method for forming a metal silicide layer in a self-aligned manner on a source region and a drain region and a gate electrode of a semiconductor device formed on a semiconductor substrate, the method comprising the steps of: depositing a cobalt film over an entire surface of the semiconductor device formed on the semiconductor substrate, forming the metal silicide layer on the source region and drain region and the gate electrode by performing a heat treating thereof, and etching away an unreacted cobalt remaining on the semiconductor substrate using an admixture solution made of hydrochloric acid, hydrogen peroxide, and water, having relative concentration ratio ranging from 1:1:5 to 3:1:5, at a solution temperature of 25 to 45° C., with an etching time of 1 to 20 minutes.
    Type: Application
    Filed: August 27, 2001
    Publication date: March 14, 2002
    Applicant: NEC Corporation
    Inventor: Takamasa Ito