Metal Oxide Patents (Class 438/722)
  • Patent number: 7807584
    Abstract: Example embodiments are directed to methods of forming a metallic oxide film using Atomic Layer Deposition while controlling the power reflected by a reactor. The method may include feeding metallic source gases, for example, first and second metallic source gases, and/or a reactant gas including oxygen into the reactor individually. One of the metallic source gases may include an amino-group or an alkoxy-group and another metallic source gas may include neither an amino-group nor an alkoxy-group. A plasma may be produced in the reactor from the reactant gas.
    Type: Grant
    Filed: June 22, 2007
    Date of Patent: October 5, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ju-youn Kim, Seok-jun Won, Weon-hong Kim, Min-woo Song, Jung-min Park
  • Patent number: 7790604
    Abstract: A method of depositing a bilayer of tungsten over tungsten nitride by a plasma sputtering process in which krypton is used as the sputter working gas during the tungsten deposition. Argon may be used as the sputtering working gas during the reactive sputtering deposition of tungsten nitride. The beneficial effect of reduction of tungsten resistivity is increased when the thickness of the tungsten layer is less than 50 nm and further increased when less than 35 nm. The method may be used in forming a gate stack including a polysilicon layer over a gate oxide layer over a silicon gate region of a MOS transistor in which the tungsten nitride acts as a barrier. A plasma sputter chamber in which the invention may be practiced includes gas sources of krypton, argon, and nitrogen.
    Type: Grant
    Filed: August 20, 2007
    Date of Patent: September 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Wei D. Wang, Srinivas Gandikota, Kishore Lavu
  • Patent number: 7780862
    Abstract: In one implementation, a method is provided capable of etching a wafer to form devices including a high-k dielectric layer. The method includes etching an upper conductive material layer in a first plasma chamber with a low cathode temperature, transferring the wafer to a second chamber without breaking vacuum, etching a high-k dielectric layer in the second chamber, and transferring the wafer from the second chamber to the first plasma chamber without breaking vacuum. A lower conductive material layer is etched with a low cathode temperature in the first chamber. In one implementation, the high-k dielectric etch is a plasma etch using a high temperature cathode. In another implementation, the high-k dielectric etch is a reactive ion etch.
    Type: Grant
    Filed: March 21, 2006
    Date of Patent: August 24, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Meihua Shen, Xikun Wang, Wei Liu, Yan Du, Shashank Deshmukh
  • Patent number: 7781340
    Abstract: A system and a method to remove a layer of high-k dielectric material during the manufacturing of an integrated circuit. In one embodiment of the invention, an etch reactant is employed to form volatile etch products when reacted with high-k layers. Alternately, high-k layers can be anisotropically etched of in accordance with a patterned photoresist or hard mask, where a hyperthermal beam of neutral atoms is used to aid in the reaction of an etch reactant with a high-k layer. Alternately, a hyperthermal beam of neutral atoms or a plasma treatment can used to modify a high-k layer, and subsequently etch the modified high-k layer utilizing an etch reactant that reacts with the modified high-k layer. In still another embodiment of the invention, the hyperthermal beam of neutral atoms is used to etch a high-k layer through physical bombardment of the high-k layer.
    Type: Grant
    Filed: March 5, 2007
    Date of Patent: August 24, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Audunn Ludviksson
  • Patent number: 7759247
    Abstract: This invention provides a semiconductor device and a manufacturing method thereof which can minimize deterioration of electric characteristics of the semiconductor device without increasing an etching process. In the semiconductor device of the invention, a pad electrode layer formed of a first barrier layer and an aluminum layer laminated thereon is formed on a top surface of a semiconductor substrate. A supporting substrate is further attached on the top surface of the semiconductor substrate. A second barrier layer is formed on a back surface of the semiconductor substrate and in a via hole formed from the back surface of the semiconductor substrate to the first barrier layer. Furthermore, a re-distribution layer is formed in the via hole so as to completely fill the via hole or so as not to completely fill the via hole. A ball-shaped terminal is formed on the re-distribution layer.
    Type: Grant
    Filed: July 3, 2007
    Date of Patent: July 20, 2010
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Kojiro Kameyama, Akira Suzuki, Yoshio Okayama
  • Patent number: 7754618
    Abstract: A dielectric layer including cerium oxide and aluminum oxide acting as a single dielectric layer, and a method of fabricating such a dielectric layer, produces a reliable structure with a high dielectric constant (high-k) for use in a variety of electronic devices. Such a dielectric layer including cerium oxide and aluminum oxide may be used as the gate insulator of a MOSFET, as a capacitor dielectric in a DRAM, as a tunnel gate insulator in flash memory, or as a dielectric in an NROM device, among others, because the high dielectric constant (high-k) of the film provides the functionality of a much thinner silicon dioxide film.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: July 13, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20100159708
    Abstract: The invention is disclosed that pattern on semiconductor substrate is fabricated by thermal reflow technique. Also, the pattern on semiconductor substrate having different sub-micron spacings can be fabricated by using different time for the thermal reflow technique process.
    Type: Application
    Filed: April 10, 2009
    Publication date: June 24, 2010
    Applicant: National Chiao Tung University
    Inventors: Yi Edward Chang, Chia-Ta Chang, Shih-Kuang Hsiao
  • Patent number: 7727897
    Abstract: A method of etching a top electrode/ferroelectric stack using an etch stop layer includes forming a first layer of a first dielectric material on a substrate; forming a bottom electrode in the first layer of a first dielectric material; depositing an etch stop layer on the first layer of the first dielectric material and the bottom electrode, including forming a hole therein; depositing a layer of ferroelectric material and depositing top electrode material on the ferroelectric material to form a top electrode/ferroelectric stack; stack etching the top electrode and ferroelectric material; depositing a layer of a second dielectric material encapsulating the top electrode and ferroelectric material; etching the layer of the second dielectric material to form a sidewall about the top electrode and ferroelectric material; and depositing a second and third layers of the first dielectric material.
    Type: Grant
    Filed: August 30, 2005
    Date of Patent: June 1, 2010
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Bruce D. Ulrich, Lisa H. Stecker, Fengyan Zhang, Sheng Teng Hsu
  • Patent number: 7718499
    Abstract: In a method of fabricating a semiconductor device, an additive gas is mixed with an etching gas to reduce a fluorine ratio of the etching gas. The etching gas having a reduced fluorine rate is utilized in the process for etching a nitride layer formed on an oxide layer to prevent the oxide layer formed below the nitride layer from being etched along with the nitride layer. The method comprises primarily etching an exposed charge storage layer using an etching gas; and secondarily etching the charge storage layer using the etching gas under a condition that a ratio of fluorine contained in the etching gas utilized in the secondary etching step is less than a ratio of fluorine contained in the etching gas utilized in the primary etching step. Thus, the tunnel insulating layer formed below the charge storage layer is not damaged when the charge storage layer is patterned.
    Type: Grant
    Filed: June 27, 2008
    Date of Patent: May 18, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Choong Bae Kim
  • Patent number: 7709397
    Abstract: A method for etching a high-k dielectric layer on a substrate in a plasma processing system is described. The high-k dielectric layer can, for example, comprise HfO2. The method comprises elevating the temperature of the substrate above 200° C. (i.e., typically of order 400° C.), introducing a process gas comprising a halogen-containing gas, igniting a plasma from the process gas, and exposing the substrate to the plasma. The process gas can further include a reduction gas in order to improve the etch rate of HfO2 relative to Si and SiO2.
    Type: Grant
    Filed: May 25, 2004
    Date of Patent: May 4, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Hiromitsu Kambara, Nobuhiro Iwama, Akiteru Ko, Hiromasa Mochiki, Masaaki Hagihara
  • Patent number: 7709274
    Abstract: A method for forming an RuOx electrode comprising depositing a TiW layer on an RuOx layer, forming a photo-resist mask on the TiW layer, in order to mask the TiW layer into a masked TiW layer, etching the masked TiW layer with a CF4 plasma, a TiW mask being formed on the RuOx layer, the CF4 plasma is not etching the RuOx and vaporizing unmasked RuOx portion of the RuOx layer with an oxygen plasma, the masked RuOx layer being formed into an RuOx electrode.
    Type: Grant
    Filed: May 30, 2007
    Date of Patent: May 4, 2010
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Steven R. Collins, Abron S. Toure, Steven D. Bernstein
  • Patent number: 7659210
    Abstract: A method for selectively removing nano-crystals on an insulating layer. The method includes providing an insulating layer with nano-crystals thereon; exposing the nano-crystals to a high density plasma comprising a source of free radical chlorine, ionic chlorine, or both to modify the nano-crystals; and removing the modified nano-crystals with a wet etchant.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: February 9, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Ramakanth Alapati, Paul Morgan, Max Hineman
  • Patent number: 7629221
    Abstract: Disclosed is a method for forming a capacitor of a semiconductor device. In such a method, a mold insulating layer is formed on an insulating interlayer provided with a storage node plug, and the mold insulating layer is etched to form a hole through which the storage node plug is exposed. Next, a metal storage electrode with an interposed WN layer is formed on a hole surface including the exposed storage node plug and the mold insulating layer is removed. Finally, a dielectric layer and a plate electrode are formed in order on the metal storage electrode.
    Type: Grant
    Filed: July 1, 2005
    Date of Patent: December 8, 2009
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ki Seon Park, Jae Sung Roh, Hyun Chul Sohn
  • Patent number: 7615163
    Abstract: A method of using a film formation apparatus for a semiconductor process includes processing by a cleaning gas a by-product film deposited on an inner surface of a reaction chamber of the film formation apparatus. This step is arranged to supply the cleaning gas into the reaction chamber, and set an interior of the reaction chamber at a first temperature and a first pressure. The by-product film mainly contains a high-dielectric-constant material. The cleaning gas contains chlorine without containing fluorine. The first temperature and the first pressure are set to activate chlorine in the cleaning gas.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: November 10, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Akitake Tamura, Shigeru Nakajima, Tetsushi Ozaki
  • Patent number: 7585698
    Abstract: A thin film transistor has a semiconductor thin film including zinc oxide, a protection film formed on entirely the upper surface of the semiconductor thin film, a gate insulating film formed on the protection film, a gate electrode formed on the gate insulating film above the semiconductor thin film, and a source electrode and drain electrode formed under the semiconductor thin film so as to be electrically connected to the semiconductor thin film.
    Type: Grant
    Filed: August 12, 2008
    Date of Patent: September 8, 2009
    Assignee: Casio Computer Co., Ltd.
    Inventor: Hiromitsu Ishii
  • Patent number: 7576002
    Abstract: A method of forming barrier layers in a via hole extending through an inter-level dielectric layer and including a preformed first barrier coated onto the bottom and sidewalls of the via holes. In a single plasma sputter reactor, a first step sputters the wafer rather than the target with high energy ions to remove the barrier layer from the bottom of the via but not from the sidewalls and a second step sputter deposits a second barrier layer, for example of Ta/TaN, onto the via bottom and sidewalls. The two steps may be differentiated by power applied to the target, by chamber pressure, or by wafer bias. The second step may include the simultaneous removal of the first barrier layer from the via bottom and sputter deposition of the second barrier layer onto the via sidewalls.
    Type: Grant
    Filed: July 19, 2005
    Date of Patent: August 18, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ling Chen, Seshadri Ganguli, Wei Cao, Christophe Marcadal
  • Patent number: 7560379
    Abstract: In one aspect, the invention provides a method of fabricating a semiconductive device 200 that comprises forming a raised layer [510] adjacent a gate [340] and over a source/drain [415], depositing a silicidation layer [915] over the gate [340] and the raised layer [510], and moving at least a portion of the silicidation layer [915] into the source/drain [415] through the raised layer [510].
    Type: Grant
    Filed: February 7, 2006
    Date of Patent: July 14, 2009
    Assignee: Texas Instruments Incorporated
    Inventors: Puneet Kohli, Manfred B. Ramin
  • Patent number: 7538001
    Abstract: A transistor gate forming method includes forming a first and a second transistor gate. Each of the two gates includes a lower metal layer and an upper metal layer. The lower metal layer of the first gate originates from an as-deposited material exhibiting a work function the same as exhibited in an as-deposited material from which the lower metal layer of the second gate originates. However, the first gate's lower metal layer exhibits a modified work function different from a work function exhibited by the second gate's lower metal layer. The first gate's lower metal layer may contain less oxygen and/or carbon in comparison to the second gate's lower metal layer. The first gate's lower metal layer may contain more nitrogen in comparison to the second gate's lower metal layer. The first gate may be a n-channel gate and the second gate may be a p-channel gate.
    Type: Grant
    Filed: September 1, 2005
    Date of Patent: May 26, 2009
    Assignee: Micron Technology, Inc.
    Inventors: D. V. Nirmal Ramaswamy, Ravi Iyer
  • Patent number: 7442654
    Abstract: A method of forming a dielectric layer structure on a supporting semiconductor structure having a first surface comprises providing a first beam of oxide; depositing a first layer of oxide on the first surface of the supporting semiconductor structure using the first beam of oxide, wherein the first layer of oxide has a second surface; terminating the first beam of oxide, and concurrently providing a second beam of oxide, a beam of metal and a beam of oxygen, wherein the first and second beams of oxide are separate and distinct beams of oxide; and depositing a second layer of oxide on the second surface simultaneously using the second beam of oxide, the beam of metal, and the beam of oxygen.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: October 28, 2008
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Ravindranath Droopad, Matthias Passlack
  • Patent number: 7442651
    Abstract: An etching technique capable of applying etching at high selectivity to a transition metal element-containing electrode material layer which is formed on or above a dielectric material layer made of a high-dielectric-constant or “high-k” insulator is provided. To this end, place a workpiece on a lower electrode located within a vacuum processing vessel. The workpiece has a multilayer structure of an electrode material layer which contains therein a transition metal element and a dielectric material layer made of high-k insulator. Then, while introducing a processing gas into the vacuum processing vessel, high-frequency power is applied to inside of the vacuum processing vessel, thereby performing plasma conversion of the introduced processing gas so that the workpiece is etched at its surface. When etching the electrode material layer, an HCl gas is supplied as the processing gas.
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: October 28, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahito Mori, Toshiaki Nishida, Naoshi Itabashi, Motohiko Yoshigai, Hideyuki Kazumi, Kazutami Tago
  • Patent number: 7422020
    Abstract: A porous dielectric layer is formed on a substrate. Aluminum is incorporated in the porous dielectric layer with a pattern process using an Aluminum gas precursor. The incorporated Aluminum improves the mechanical properties of the porous dielectric layer.
    Type: Grant
    Filed: June 30, 2006
    Date of Patent: September 9, 2008
    Assignee: Intel Corporation
    Inventors: Vijayakumar Ramachandrarao, Grant Kloster
  • Publication number: 20080199975
    Abstract: Provided herein are methods of forming a metal oxide layer pattern on a substrate including providing a preliminary metal oxide layer on a substrate; etching the preliminary metal oxide layer to provide a preliminary metal oxide layer pattern, wherein the line width of the preliminary metal oxide layer pattern gradually increases in a vertically downward direction; and etching the preliminary metal oxide layer pattern to form a metal oxide layer pattern in a manner so as to decrease the line width of a lower portion of the preliminary metal oxide layer.
    Type: Application
    Filed: February 15, 2008
    Publication date: August 21, 2008
    Inventors: Min-Joon Park, Chang-Jin Kang, Dong-Hyun Kim
  • Patent number: 7371263
    Abstract: A method of removing an oxide layer from an article. The article may be located in a reaction chamber into which an interhalogen compound reactive with the oxide layer is introduced. A temperature of the reaction chamber may be modified so as to remove the oxide layer. The interhalogen compound may form volatile by-product gases upon reaction with the oxide layer. Unreacted interhalogen compound and volatile by-product gases may then be removed from the reaction chamber.
    Type: Grant
    Filed: March 23, 2006
    Date of Patent: May 13, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Donald L. Westmoreland
  • Patent number: 7368394
    Abstract: Methods for forming anisotropic features for high aspect ratio application in etch process are provided in the present invention. The methods described herein advantageously facilitates profile and dimension control of features with high aspect ratios through a sidewall passivation management scheme. In one embodiment, sidewall passivations are managed by selectively forming an oxidation passivation layer on the sidewall and/or bottom of etched layers. In another embodiment, sidewall passivation is managed by periodically clearing the overburden redeposition layer to preserve an even and uniform passivation layer thereon. The even and uniform passivation allows the features with high aspect ratios to be incrementally etched in a manner that pertains a desired depth and vertical profile of critical dimension in both high and low feature density regions on the substrate without generating defects and/or overetching the underneath layers.
    Type: Grant
    Filed: February 27, 2006
    Date of Patent: May 6, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Meihua Shen, Uwe Leucke, Guangxiang Jin, Xikun Wang, Wei Liu, Scott Williams
  • Patent number: 7364956
    Abstract: A method for manufacturing semiconductor devices includes a step of etching a sample including an interlayer insulating layer containing Al2O3 and a polysilicon or SiO2 layer in contact with the interlayer insulating layer using a plasma etching system. The interlayer insulating layer is etched with a gas mixture containing BCl3, Ar, and CH4 or He. The gas mixture further contains Cl2. The interlayer insulating layer is etched in such a manner that a time-modulated high-frequency bias voltage is applied to the sample. The interlayer insulating layer is etched in such a manner that the sample is maintained at a temperature of 100° C. to 200° C. The interlayer insulating layer and the polysilicon or SiO2 layer are separately etched in different chambers.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: April 29, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Go Saito, Toshiaki Nishida, Takahiro Shimomura, Takao Arase
  • Patent number: 7361606
    Abstract: A method of forming a metal line is provided. A first metal layer and a second metal layer protecting the first metal layer are formed on a base substrate. The first metal layer includes aluminum or aluminum alloy. A photoresist pattern having a linear shape is formed on the second metal layer. The first and second metal layers are dry-etched using etching gas and the photoresist pattern as an etching mask. An etching material is removed from the base substrate, to prevent corrosion of the dry-etched first metal layer. Therefore, the source metal pattern without corrosion may be formed through a dry-etching process so that a manufacturing cost is decreased.
    Type: Grant
    Filed: November 29, 2006
    Date of Patent: April 22, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Gab Kim, Shi Yul Kim, Min Seok Oh, Hong Kee Chin
  • Patent number: 7344927
    Abstract: A method and an apparatus are provided for manufacturing an active matrix device including a top gate type TFT. A manufacturing process of the top gate type TFT includes the steps of forming an oxide film on the inner wall of a CVD processing chamber and arranging a substrate having source and drain electrodes formed thereon in the processing chamber. Additional steps include doping the source and drain electrodes with P, and forming an a-Si layer and a gate insulating film in the processing chamber. Furthermore, an apparatus is provided for manufacturing an active matrix device including a top gate type TFT having the inner surface of the processing chamber coated with the oxide film.
    Type: Grant
    Filed: May 15, 2001
    Date of Patent: March 18, 2008
    Assignee: Au Optronics Corporation
    Inventors: Takatoshi Tsujimura, Osamu Tokuhiro, Mitsuo Morooka, Takashi Miyamoto
  • Publication number: 20080038929
    Abstract: Provided is a dry etching method for an oxide semiconductor film containing at least In, Ga, and Zn, which includes etching an oxide semiconductor film in a gas atmosphere containing a halogen-based gas.
    Type: Application
    Filed: July 10, 2007
    Publication date: February 14, 2008
    Applicant: CANON KABUSHIKI KAISHA
    Inventor: Chienliu Chang
  • Patent number: 7323418
    Abstract: The present invention leverages an etch-back process to provide an electrode cap for a polymer memory element. This allows the polymer memory element to be formed within a via embedded in layers formed on a substrate. By utilizing the etch-back process, the present invention provides tiny electrical contacts necessary for the proper functioning of polymer memory devices that utilize the vias. In one instance of the present invention, one or more via openings are formed in a dielectric layer to expose an underlying layer. A polymer layer is then formed within the via on the underlying layer with a top electrode material layer deposited over the polymer layer, filling the remaining portion of the via. Excess portions of the top electrode material are then removed by an etching process to form an electrode cap that provides an electrical contact point for the polymer memory element.
    Type: Grant
    Filed: April 8, 2005
    Date of Patent: January 29, 2008
    Assignee: Spansion LLC
    Inventors: Minh Van Ngo, Angela T. Hui, Sergey D. Lopatin
  • Patent number: 7309652
    Abstract: Disclosed are a method for removing a photoresist layer and a method for forming a metal line using the same. The method for removing a photoresist pattern, including the steps of: forming a bottom layer on a substrate by using the photoresist pattern as a mask; and removing the photoresist pattern with use of a high density plasma (HDP) apparatus. The method for forming a metal line, including the steps of: preparing a semi-finished substrate including an inter-layer insulation layer; forming a photoresist pattern on the inter-layer insulation layer; forming an opening by etching the inter-layer insulation layer with use of the photoresist pattern as an etch mask; removing the photoresist pattern by using a high density plasma (HDP) apparatus; and forming the metal line by filling the opening with a predetermined material.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: December 18, 2007
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Sang-Wook Ryu
  • Patent number: 7273815
    Abstract: A method for forming a feature in a layer with reduced line edge roughening is provided. A photoresist layer is formed over the layer. The photoresist layer is patterned to form photoresist features with photoresist sidewalls. A sidewall layer with a thickness less than 100 nm is formed over the sidewalls of the photoresist features by performing for a plurality of cycles. Each cycle comprises depositing a layer on the photoresist layer wherein the deposited layer has a thickness between a monolayer to 20 nm. Features are etched into the layer through the photoresist features. The photoresist layer and sidewall layer are stripped.
    Type: Grant
    Filed: August 18, 2005
    Date of Patent: September 25, 2007
    Assignee: Lam Research Corporation
    Inventors: S. M. Reza Sadjadi, Eric A. Hudson
  • Patent number: 7253094
    Abstract: A method for processing a semiconductor topography which includes removing metal oxide layers from the bottom of contact openings is provided. In some embodiments, the method may include etching openings within a dielectric layer to expose conductive and silicon surfaces within the semiconductor topography is provided. In such cases, the method further includes exposing the semiconductor topography to an etch process adapted to remove metal oxide material from the conductive surfaces without substantially removing material from the silicon surfaces. In some cases, the etch chemistry used for the etch process may include sulfuric acid. In addition or alternatively, the etch chemistry may include hydrogen peroxide. In any case, the etch chemistry may be distinct from chemistries used to remove residual matter generated from the etch process used to form the openings within the dielectric and/or the removal of the masking layer used to pattern the openings.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: August 7, 2007
    Assignee: Cypress Semiconductor Corp.
    Inventors: Jie Zhang, Vinay Krishna, Chan-Lon Yang
  • Patent number: 7241696
    Abstract: Disclosed is a method for depositing a metal layer on an interconnect structure for a semiconductor wafer. In the method, a metal conductor is covered by a capping layer and a dielectric layer. The dielectric layer is patterned so as to expose the capping layer. The capping layer is then sputter etched to remove the capping layer and expose the metal conductor. In the process of sputter etching, the capping layer is redeposited onto the sidewall of the pattern. Lastly, at least one layer is deposited into the pattern and covers the redeposited capping layer.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: July 10, 2007
    Assignees: International Business Machines Corporation, Infineon Technologies, AG
    Inventors: Larry Clevenger, Timothy Joseph Dalton, Mark Hoinkis, Steffen K. Kaldor, Kaushik Kumar, Douglas C. La Tulipe, Jr., Soon-Cheon Seo, Andrew Herbert Simon, Yun-Yu Wang, Chih-Chao Yang, Haining Yang
  • Patent number: 7217665
    Abstract: A method of plasma etching a layer of dielectric material having a dielectric constant that is greater than four (4). The method includes exposing the dielectric material layer to a plasma comprising a hydrocarbon gas and a halogen containing gas.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: May 15, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Padmapani C. Nallan, Guangxiang Jin, Ajay Kumar
  • Patent number: 7217619
    Abstract: The top of the semiconductor body (1) has a sacrificial layer (4) made of nitride applied to it on a region, which is provided for the actuation circuit. A memory layer (6) provided for the memory cells is applied over the entire area and is removed above the sacrificial layer (4) by dry etching. The nitride in the sacrificial layer (4) can then be removed by wet chemical means without starting to etch the semiconductor material.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: May 15, 2007
    Assignee: Infineon Technologies AG
    Inventor: Roman Knoefler
  • Patent number: 7202169
    Abstract: A system and a method to remove a layer of high-k dielectric material during the manufacturing of an integrated circuit. In one embodiment of the invention, an etch reactant is employed to form volatile etch products when reacted with high-k layers. Alternately, high-k layers can be anisotropically etched of in accordance with a patterned photoresist or hard mask, where a hyperthermal beam of neutral atoms is used to aid in the reaction of an etch reactant with a high-k layer. Alternately, a hyperthermal beam of neutral atoms or a plasma treatment can used to modify a high-k layer, and subsequently etch the modified high-k layer utilizing an etch reactant that reacts with the modified high-k layer. In still another embodiment of the invention, the hyperthermal beam of neutral atoms is used to etch a high-k layer through physical bombardment of the high-k layer.
    Type: Grant
    Filed: September 26, 2003
    Date of Patent: April 10, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Audunn Ludviksson
  • Patent number: 7128846
    Abstract: A method including the steps of: modifying at least one part of a sapphire substrate by dry etching to thereby form any one of a dot shape, a stripe shape, a lattice shape, etc. as an island shape on the sapphire substrate; forming an AlN buffer layer on the sapphire substrate; and epitaxially growing a desired Group III nitride compound semiconductor vertically and laterally so that the AlN layer formed on a modified portion of the surface of the sapphire substrate is covered with the desirably Group III nitride compound semiconductor without any gap while the AlN layer formed on a non-modified portion of the surface of the sapphire substrate is used as a seed, wherein the AlN buffer layer is formed by means of reactive sputtering with Al as a target in an nitrogen atmosphere.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: October 31, 2006
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Kazuki Nishijima, Masanobu Senda, Toshiaki Chiyo, Jun Ito, Naoki Shibata, Toshimasa Hayashi
  • Patent number: 7129173
    Abstract: A semiconductor substrate is provided, on which there is arranged a first layer, a second layer and a third layer. The third layer is, for example, a resist mask that is used to pattern the second layer. The second layer is, for example, a patterned hard mask used to pattern the first layer. Then, the third layer is removed and a fourth layer is deposited. The fourth layer is, for example, an insulator that fills the trenches which have been formed in the first layer. Then, the fourth layer is planarized by a CMP step. The planarization is continued and the second layer, which is, for example, a hard mask, is removed from the first layer together with the fourth layer. The fourth layer remains in place in a trench which is arranged in the first layer.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: October 31, 2006
    Assignee: Infineon Technologies AG
    Inventors: Heike Drummer, Franz Kreupl, Annette Sänger, Manfred Engelhardt, Bernhard Sell, Peter Thieme
  • Patent number: 7119021
    Abstract: A ferroelectric capacitor in which damage caused by etching exposed faces of a ferroelectric layer of the capacitor is compensated by depositing a seeding layer of ferroelectric material such as PZT on one or more exposed faces of the ferroelectric layer and depositing an electrode layer made of conductive material such as platinum on the seeding layer. An oxygen annealing recovery process is applied to the device. The seeding layer can transform the phase of the damaged surfaces from amorphous to crystalline during the recovery annealing process and, at the same time, provide the damaged surfaces of the ferroelectric layer with missing element(s), for example lead. The oxygen necessary for recovery of the damage may be obtained through the platinum layer from the oxygen atmosphere.
    Type: Grant
    Filed: November 7, 2003
    Date of Patent: October 10, 2006
    Assignee: Infineon Technologies AG
    Inventor: Bum-Ki Moon
  • Patent number: 7111386
    Abstract: A major problem in Lead Overlay design for GMR structures is that the magnetic read track width is wider than the physical read track width. This is due to high interfacial resistance between the leads and the GMR layer which is an unavoidable side effect of prior art methods. The present invention uses electroplating preceded by a wet etch to fabricate the leads. This approach requires only a thin protection layer over the GMR layer to ensure that interface resistance is minimal. Using wet surface cleaning avoids sputtering defects and plating is compatible with this so the cleaned surface is preserved Only a single lithography step is needed to define the track since there is no re-deposition involved.
    Type: Grant
    Filed: November 3, 2005
    Date of Patent: September 26, 2006
    Assignee: Headway Technologies, Inc.
    Inventors: Chao-Peng Chen, Kevin Lin, Jei-Wei Chang, Kochan Ju, Hui-Chuan Wang
  • Patent number: 7105101
    Abstract: A dry cleaning process for removing native oxide at improved efficiency is disclosed. The dry cleaning process minimizes the amount of fluorine atoms absorbed on the surface of a processed substrate. Fluorine radicals are provided to the substrate together with hydrogen radicals. The substrate is processed by the reaction of the fluorine radicals and the hydrogen radicals.
    Type: Grant
    Filed: October 3, 2003
    Date of Patent: September 12, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Shinriki, Shintaro Aoyama
  • Patent number: 7094355
    Abstract: This invention provides a local dry etching method comprising the step of removing an oxide film formed on the surface of a semiconductor water before unevenness on the semiconductor wafer is removed by scanning the surface of the semiconductor wafer at a controlled relative speed with a nozzle for applying a flow of activated species gas to the surface of the semiconductor wafer. The removal of this oxide film is carried out by widening an etching profile and a scan pitch and making the nozzle speed constant, and then flattening is carried out in the same local dry etching apparatus. For flattening, the nozzle speed is changed for each area according to initial unevenness.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: August 22, 2006
    Assignee: Speedfam Co., Ltd.
    Inventors: Michihiko Yanagisawa, Tadayoshi Okuya
  • Patent number: 7084002
    Abstract: The present invention relates to a method for manufacturing a nano-structured metal oxide electrode, and in particular, to a method for manufacturing a metal oxide electrode having a few tens or hundreds of nanometers in diameter that is well adapted to an electrode of a supercapacitor using an alumina or polymer membrane having nano-sized pores as a template.
    Type: Grant
    Filed: October 20, 2004
    Date of Patent: August 1, 2006
    Assignees: Hyundai Motor Company, Kia Motors Corporation
    Inventors: Kwang Bum Kim, Kyung Wan Nam, Il Hwan Kim, Jin Ho Park
  • Patent number: 7078255
    Abstract: Simplified method of manufacturing liquid crystal displays. A gate wire including a gate line, a gate pad and a gate electrode is formed on the substrate by using the first mask. A gate insulating layer, a semiconductor layer, a ohmic contact layer and a metal layer are sequentially deposited to make a quadruple layers, and patterned by a dry etch of using the second mask. At this time, the quadruple layers is patterned to have a matrix of net shape layout and covering the gate wire. An opening exposing the substrate is formed in the display area and a contact hole exposing the gate pad is formed in the peripheral area. Next, ITO is deposited and a photoresist layer coated on the ITO. Then, the ITO layer is patterned by using the third mask and a dry etch, and the data conductor layer and the ohmic contact layer not covered by the ITO layer is dry etched.
    Type: Grant
    Filed: September 3, 2004
    Date of Patent: July 18, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Mun-Pyo Hong, Woon-Yong Park, Jong-Soo Yoon
  • Patent number: 7067329
    Abstract: A ferroelectric memory device and a method of fabricating the same are provided. The device includes a substrate where a conductive region is formed and an interlayer insulating layer. The interlayer insulating layer is stacked on the substrate and has a contact hole exposing the conductive region. The contact hole is filled with a contact plug having a projection over the interlayer insulating layer. The projection of the contact plug is covered with a capacitor including a lower electrode, a ferroelectric layer pattern, and an upper electrode. A width of the projection is preferably greater than that of the contact hole and smaller than that of the lower electrode. The method includes forming lower and upper interlayer insulating layers on a substrate where a conductive region is formed. The lower and upper interlayer insulating layers have a contact hole exposing the conductive region.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: June 27, 2006
    Assignee: Samsung Electronics Co., LTD
    Inventor: Moon-Sook Lee
  • Patent number: 7060508
    Abstract: A superconductor integrated circuit (1) includes an anodization ring (35) disposed around a perimeter of a tunnel junction region (27) for preventing a short-circuit between an outside contact (41) and the base electrode layer (18). The tunnel junction region (27) includes a junction contact (31) with a diameter of approximately 1.00 ?m or less defined by a top surface of the counter electrode layer (24). The base electrode layer (18) includes an electrode isolation region (36) disposed approximately 0.8 ?m in horizontal distance from the junction contact (31) for providing device isolation.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: June 13, 2006
    Assignee: Northrop Grumman Corporation
    Inventor: George L. Kerber
  • Patent number: 7060622
    Abstract: According to the present invention, a dummy wafer is formed by forming a masking film on a rear surface of a silicon wafer; spray coating aluminum and depositing an aluminum film on a front surface of the silicon wafer; spray coating ceramics or carbon and depositing a ceramic film or carbon film on the aluminum film so that the aluminum film may be completely covered; and removing the masking film formed on the rear surface. Also, a dummy wafer can be formed by using an aluminum wafer as a wafer substrate and subjecting it to anodic oxidation to form a film of aluminum oxide.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: June 13, 2006
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Yuichiro Miyamori, Munenori Hidaka, Masashi Yoshida
  • Patent number: 7055532
    Abstract: The process of the present invention comprises reactive ion etching of AlxFyOz oxide deposits on aluminum-containing bond pads using feed gases, such as, SF6/CF4/Ar or Cl2/BCL3/Ar. whose active plasma etches the AlxFyOz oxide deposits by physical etching and chemical etching for more complete removal of the AlxFyOz oxide deposits.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: June 6, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: How-Cheng Tsai, Hung-Hsin Liu
  • Patent number: 7033946
    Abstract: A method of removing an oxide layer from an article. The article may be located in a reaction chamber into which an interhalogen compound reactive with the oxide layer is introduced. A temperature of the reaction chamber may be modified so as to remove the oxide layer. The interhalogen compound may form volatile by-product gases upon reaction with the oxide layer. Unreacted interhalogen compound and volatile by-product gases may then be removed from the reaction chamber.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: April 25, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Donald L. Westmoreland
  • Patent number: 7012027
    Abstract: A method is described for selectively etching a high k dielectric layer that is preferably a hafnium or zirconium oxide, silicate, nitride, or oxynitride with a selectivity of greater than 2:1 relative to silicon oxide, polysilicon, or silicon. The plasma etch chemistry is comprised of one or more halogen containing gases such as CF4, CHF3, CH2F2, CH3F, C4F8, C4F6, C5F6, BCl3, Br2, HF, HCl, HBr, HI, and NF3 and leaves no etch residues. An inert gas or an inert gas and oxidant gas may be added to the halogen containing gas. In one embodiment, a high k gate dielectric layer is removed on portions of an active area in a MOS transistor. Alternatively, the high k dielectric layer is used in a capacitor between two conducting layers and is selectively removed from portions of an ILD layer.
    Type: Grant
    Filed: January 27, 2004
    Date of Patent: March 14, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Baw-Ching Perng, Yuan-Hung Chiu, Mei-Hui Sung, Peng-Fu Hsu