Silicon Oxide Or Glass Patents (Class 438/743)
  • Patent number: 6905943
    Abstract: In one embodiment, a method for forming a semiconductor structure in manufacturing a semiconductor device includes providing a pad layer on a surface of a substrate, providing a nitride layer on the pad layer, and providing a sacrificial oxide layer on the nitride layer. In a first etching step, at least the sacrificial oxide and nitride layers are etched to define opposing substantially vertical surfaces of at least the sacrificial oxide and nitride layers. In a second etching step, the nitride layer is etched such that the opposing substantially vertical surfaces of the nitride layer are recessed from the opposing substantially vertical surfaces of the sacrificial oxide layer, the sacrificial oxide layer substantially preventing the nitride layer from decreasing in thickness as a result of the etching of the nitride layer. In a third etching step, the substrate is etched to form a trench extending into the substrate for purposes of defining one or more isolation regions adjacent the trench.
    Type: Grant
    Filed: November 6, 2003
    Date of Patent: June 14, 2005
    Assignee: Texas Instruments Incorporated
    Inventors: Juanita DeLoach, Freidoon Mehrad, Brian M. Trentman, Troy A. Yocum
  • Patent number: 6878612
    Abstract: A semiconductor device manufacturing method that assures required size of flat areas at a wiring overlay nitride film, and forms an SAC structure wherein selectivity is not lowered at corners. A first etching process wherein an insulating film is etched under conditions for forming a vertical opening (vertical conditions) is used to open up the insulating film to a point near the wiring overlay nitride film 105. A second etching process is used wherein the insulating film is opened until the wiring overlay nitride film becomes exposed, by etching under conditions assuring a high ratio of selectivity relative to the wiring overlay nitride film (SAC conditions). Then, a third etching process is used wherein the insulating film located between first and second electrodes is removed by etching under conditions with a low ratio of selectivity relative to the wiring overlay nitride film (SAC conditions).
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: April 12, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Takeshi Nagao, Atsushi Yabata
  • Patent number: 6875688
    Abstract: A method for implementing dual damascene processing includes forming a first hardmask layer over an interlevel dielectric layer, and forming a second hardmask layer over the first hardmask layer. A trench pattern is opened within a third hardmask layer formed over the second hardmask. A first etch process is implemented so as to define a via pattern completely through the second hardmask layer and partially through the first hardmask layer, and a second etch process is implemented to transfer the trench pattern and the via pattern into the interlevel dielectric layer.
    Type: Grant
    Filed: May 18, 2004
    Date of Patent: April 5, 2005
    Assignee: International Business Machines Corporation
    Inventors: William G. America, Kaushik A. Kumar
  • Patent number: 6875704
    Abstract: A method for forming a pattern using a printing process is disclosed in the present invention. The method includes forming a resist layer on a substrate having an etching layer thereon, locating a master having a convex pattern over the substrate, pressing the master against the substrate until the convex pattern of the master directly contacts the etching layer, and removing a portion of the resist layer to expose a surface over the substrate, the removed portion of the resist layer having a width substantially the same as the convex portion of the master.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: April 5, 2005
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Myoung-Kee Baek, Kwon-Shik Park
  • Patent number: 6869542
    Abstract: Form an opening in a dielectric layer formed on a substrate comprises depositing a hard mask composed of an etch resistant material over a dielectric layer, e.g. a silicon oxide. Use a photoresist mask to expose the hard mask. Use a fluorocarbon plasma to etch through the window to form an opening through the hard mask. Then etch through the hard mask opening to pattern the dielectric layer. The hard mask comprises an RCH/RCHX material with the structural formula R:C:H or R:C:H:X, where R is selected from Si, Ge, B, Sn, Fe, Ti and X is selected from O, N, S and F. The plasma etching process employs a) a gas mixture comprising N2; fluorocarbon (CHF3, C4F8, C4F6, CF4, CH2F2, CH3F); an oxidizer (O2, CO2), and a noble diluent (Ar, He); b) a high DC bias (500-3000 Volts bias on the wafer); 3) medium pressure (20-100 mT.; and d) moderate temperatures (?20 to 60°).
    Type: Grant
    Filed: March 12, 2003
    Date of Patent: March 22, 2005
    Assignee: International Business Machines Corporation
    Inventors: Sadanand V. Desphande, David Dobuzinsky, Arpan P. Mahorowala, Tina Wagner, Richard Wise
  • Patent number: 6852472
    Abstract: The removal of defect particles that may be created during polysilicon hard mask etching, and that are embedded within the polysilicon layer, is disclosed. Oxide is first grown in the polysilicon layer exposed through the patterned hard mask layer, so that the defect particle becomes embedded within the oxide. Oxide growth may be accomplished by rapid thermal oxidation (RTO). The oxide is then exposed to an acidic solution, such as hydrofluoric (HF) acid, to remove the oxide and the embedded defect particle embedded therein.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: February 8, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., LTD
    Inventors: Chu-Sheng Lee, Tou-Yu Chen
  • Patent number: 6849193
    Abstract: An oxide etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. The invention uses a heavy perfluorocarbon, for example, hexafluorobutadiene (C4F6) or hexafluorobenzene (C6F6). The fluorocarbon together with a substantial amount of a noble gas such as argon is excited into a high-density plasma in a reactor which inductively couples plasma source power into the chamber and RF biases the pedestal electrode supporting the wafer. A more strongly polymerizing fluorocarbon such as difluoromethane (CH2F2) is added in the over etch to protect the nitride corner. Oxygen or nitrogen may be added to counteract the polymerization. The same chemistry can be used in a magnetically enhanced reactive ion etcher (MERIE) or with a remote plasma source.
    Type: Grant
    Filed: May 13, 2002
    Date of Patent: February 1, 2005
    Inventors: Hoiman Hung, Joseph P Caulfield, Hongqing Shan, Ruiping Wang, Gerald Zheyao Yin
  • Patent number: 6838369
    Abstract: A method for forming a contact hole of a semiconductor device, wherein a polymer residual on a bottom surface of the contact hole is treated with plasma of mixture gas containing oxygen to convert the polymer residual into a pure silicon oxide film free of carbon and fluorine for easy removal in a subsequent washing process is disclosed. The method comprises (a) sequentially forming a capping layer and a planarized interlayer insulating film on a semiconductor substrate having a predetermined lower structure; (b) selectively etching the interlayer insulating film to expose a predetermined region of the capping layer; (c) removing the exposed capping layer; (d) subjecting the resulting structure to a plasma treatment using a mixture gas containing oxygen; and (e) performing a cleaning process.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: January 4, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventors: Ho Seok Lee, Dong Sauk Kim, Jin Woong Kim
  • Patent number: 6838391
    Abstract: A method for the production of semiconductor components which includes applying masking layers and components on epitaxial semiconductor substrates within the epitaxy reactor without removal of the substrate from the reactor. At least one of the masking layers is HF soluble such that a gas etchant may be introduced within the reactor so as to etch a select number and portion of masking layers. This method may be used for production of lateral integrated components on a substrate wherein the components may be of the same or different type. Such types include electronic and optoelectronic components. Numerous masking layers may be applied, each defining particular windows intended to receive each of the various components. In the reactor, the masks may be selectively removed, then the components grown in the newly exposed windows.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: January 4, 2005
    Assignee: Osram Opto Semiconductors GmbH & Co. oHG
    Inventor: Volker Härle
  • Patent number: 6831005
    Abstract: A process for the formation of structures in microelectronic devices such as integrated circuit devices. Vias, interconnect metallization and wiring lines are formed using single and dual damascene techniques wherein dielectric layers are treated with a wide electron beam exposure.
    Type: Grant
    Filed: October 17, 2000
    Date of Patent: December 14, 2004
    Assignee: Allied Signal, Inc.
    Inventor: Matthew F. Ross
  • Patent number: 6831019
    Abstract: In one implementation, a plasma etching method comprises forming a GexSey chalcogenide comprising layer over a substrate. A mask comprising an organic masking material is formed over the GexSey chalcogenide comprising layer. The mask comprises a sidewall. At least prior to plasma etching the GexSey comprising layer, the sidewall of the mask is exposed to a fluorine comprising material. After exposing, the GexSey chalcogenide comprising layer is plasma etched using the mask and a hydrogen containing etching gas. The plasma etching forms a substantially vertical sidewall of the GexSey chalcogenide comprising layer which is aligned with a lateral outermost extent of the sidewall of the mask.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: December 14, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Terry L. Gilton, Kei-Yu Ko, John T. Moore, Karen Signorini
  • Patent number: 6828252
    Abstract: A chemical vapor deposition method includes providing a semiconductor substrate within a chemical vapor deposition chamber. At least one liquid deposition precursor is vaporized with a vaporizer to form a flowing vaporized precursor stream. The flowing vaporized precursor stream is initially bypassed from entering the chamber for a first period of time while the substrate is in the deposition chamber. After the first period of time, the flowing vaporized precursor stream is directed to flow into the chamber with the substrate therein under conditions effective to chemical vapor deposit a layer over the substrate. A method of etching a contact opening over a node location on a semiconductor substrate is disclosed.
    Type: Grant
    Filed: April 21, 2004
    Date of Patent: December 7, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Mark E. Jost, Chris W. Hill
  • Patent number: 6824697
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: November 30, 2004
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6825121
    Abstract: A method of manufacturing a capacitor having increased capacitance using a single photo-lithographic step to form two holes of different sizes in the insulating layers, wherein a first insulating layer, an etching stop layer, and a second insulating layer are sequentially deposited on a semiconductor substrate, a preliminary hole is formed by etching a predetermined portion of the second insulating layer, the preliminary hole is expanded so as to form a first hole, a second hole is formed extending from the bottom of the first hole and having an etched area narrower than an etched area of the first hole, a first conductive layer pattern is formed on the sidewalls of the first and second holes and at the bottom surface of the second hole without burying the second hole, thereby increasing the storage capacitance of the capacitor while simplifying the manufacturing process.
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Un Kwean, Jae-Seung Hwang
  • Patent number: 6808920
    Abstract: A microchip device for chemotaxis observation according to the present invention is provided with the first well in which chemotactic factors are to be filled, and the second well in which chemotactic cells are to be filled. There is provided a channel between the first well and the second well. The channel has a plurality of paths. A sidewall surfaces of the path is substantially perpendicular to a bottom surface, as formed by anisotropic dry etching.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: October 26, 2004
    Assignee: Yamatake Corporation
    Inventors: Yasuhiro Goshoo, Takaaki Kuroiwa
  • Patent number: 6809038
    Abstract: Formed is a lamination structure of a silicon oxide layer, a silicon nitride layer and a silicon oxide layer as an intermediate insulating film between a floating gate and a control gate. A silicon nitride film above the control gate is removed by dry etching. In this event, CH3F gas, CH2F2 gas or a mixed gas thereof and O2 gas are used as an etching gas, a pressure inside a reaction chamber is set in the range of 10.6 to 13.3 Pa (80 to 100 mTorr), and a flow rate of the O2 gas is set five times that of the CH3F gas, CH2F2 gas or mixed gas thereof or more.
    Type: Grant
    Filed: February 12, 2003
    Date of Patent: October 26, 2004
    Assignee: Fujitsu Limited
    Inventor: Tatsuichiro Maki
  • Patent number: 6800213
    Abstract: An oxide etching recipe including a heavy hydrogen-free fluorocarbon having F/C ratios less than 2, preferably C4F6, an oxygen-containing gas such as O2 or CO, a lighter fluorocarbon or hydrofluorocarbon, and a noble diluent gas such as Ar or Xe. The amounts of the first three gases are chosen such that the ratio (F—H)/(C—O) is at least 1.5 and no more than 2. Alternatively, the gas mixture may include the heavy fluorocarbon, carbon tetrafluoride, and the diluent with the ratio of the first two chosen such the ratio F/C is between 1.5 and 2.
    Type: Grant
    Filed: June 7, 2002
    Date of Patent: October 5, 2004
    Inventors: Ji Ding, Hidehiro Kojiri, Yoshio Ishikawa, Keiji Horioka, Ruiping Wang, Robert W. Wu, Hoiman (Raymond) Hung
  • Patent number: 6797189
    Abstract: A plasma etching process, particularly useful for selectively etching oxide over a feature having a non-oxide composition, such as silicon nitride and especially when that feature has a corner that is prone to faceting during the oxide etch. A primary fluorine-containing gas, preferably hexafluorobutadiene (C4F6), is combined with a significantly larger amount of the diluent gas xenon (Xe) enhance nitride selectivity without the occurrence of etch stop. The chemistry is also useful for etching oxides in which holes and corners have already been formed, for which the use of xenon also reduces faceting of the oxide. For this use, the relative amount of xenon need not be so high. The invention may be used with related heavy fluorocarbons and other fluorine-based etching gases.
    Type: Grant
    Filed: March 25, 1999
    Date of Patent: September 28, 2004
    Inventors: Hoiman (Raymond) Hung, Joseph P. Caulfield, Hongqing Shan, Michael Rice, Kenneth S Collins, Chunshi Cui
  • Patent number: 6777333
    Abstract: A method for fabricating a semiconductor device includes the steps of: forming an insulating film on a conductive pattern formed on a substrate; forming a resist pattern on the insulating film; performing etching to the insulating film using the resist pattern as a mask to form in the insulating film an opening at which part of the surface of the conductive pattern is exposed; forming an antioxidant layer on the part of surface of the conductive pattern exposed while removing the resist pattern; and depositing a conductive film on the conductive pattern from which the antioxidant layer has been removed.
    Type: Grant
    Filed: August 27, 2003
    Date of Patent: August 17, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Masahiro Joei
  • Patent number: 6767794
    Abstract: A semiconductor device having gate oxide with a first thickness and a second thickness is formed by initially implanting a portion of the gate area of the semiconductor substrate with nitrogen ions and then forming a gate oxide on the gate area. Preferably the gate oxide is grown by exposing the gate area to an environment of oxygen. A nitrogen implant inhibits the rate of SiO2 growth in an oxygen environment. Therefore, the portion of the gate area with implanted nitrogen atoms will grow or form a layer of gate oxide, such as SiO2, which is thinner than the portion of the gate area less heavily implanted or not implanted with nitrogen atoms. The gate oxide layer could be deposited rather than growing the gate oxide layer. After forming the gate oxide layer, polysilicon is deposited onto the gate oxide. The semiconductor substrate can then be implanted to form doped drain and source regions. Spacers can then be placed over the drain and source regions and adjacent the ends of the sidewalls of the gate.
    Type: Grant
    Filed: January 5, 1998
    Date of Patent: July 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Michael Allen, H. James Fulford
  • Patent number: 6762127
    Abstract: The present invention provides a novel etching technique for etching a layer of C-doped silicon oxide, such as a partially oxidized organo silane material. This technique, employing CH2F2/Ar chemistry at low bias and low to intermediate pressure, provides high etch selectivity to silicon oxide and improved selectivity to organic photoresist. Structures including a layer of partially oxidized organo silane material (1004) deposited on a layer of silicon oxide (1002) were etched according to the novel technique, forming relatively narrow trenches (1010, 1012, 1014, 1016, 1030, 1032, 1034 and 1036) and wider trenches (1020, 1022, 1040 and 1042). The technique is also suitable for forming dual damascene structures (1152, 1154 and 1156). In additional embodiments, manufacturing systems (1410) are provided for fabricating IC structures of the present invention. These systems include a controller (1400) that is adapted for interacting with a plurality of fabricating stations (1420, 1422, 1424, 1426 and 1428).
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: July 13, 2004
    Inventors: Yves Pierre Boiteux, Hui Chen, Ivano Gregoratto, Chang-Lin Hsieh, Hoiman Hung, Sum-Yee Betty Tang
  • Patent number: 6759286
    Abstract: A method of fabricating a gate structure of a field effect transistor, comprising forming a hard mask, etching a gate electrode, and contemporaneously forming a gate dielectric and removing the hard mask.
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: July 6, 2004
    Inventors: Ajay Kumar, Padmapani C. Nallan
  • Patent number: 6759336
    Abstract: Methods for reducing contamination of semiconductor substrates after processing are provided. The methods include heating the processed substrate to remove absorbed chemical species from the substrate surface by thermal desorption. Thermal desorption can be performed either in-situ or ex-situ. The substrate can be heated by convection, conduction, and/or radiant heating. The substrate can also be heated by treating the surface of the processed substrate with an inert plasma during which treatment ions in the plasma bombard the substrate surface raising the temperature thereof. Thermal desorption can also be performed ex-situ by applying thermal energy to the substrate during transport of the substrate from the processing chamber and/or by transporting the substrate to a transport module (e.g., a load lock) or to a second processing chamber for heating. Thermal desorption during transport can be enhanced by purging an inert gas over the substrate surface.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: July 6, 2004
    Assignee: Lam Research Corporation
    Inventors: Robert Chebi, David Hemker
  • Publication number: 20040121614
    Abstract: A method for forming a pattern using a printing process is disclosed in the present invention. The method includes forming a resist layer on a substrate having an etching layer thereon, locating a master having a convex pattern over the substrate, pressing the master against the substrate until the convex pattern of the master directly contacts the etching layer, and removing a portion of the resist layer to expose a surface over the substrate, the removed portion of the resist layer having a width substantially the same as the convex portion of the master.
    Type: Application
    Filed: September 30, 2003
    Publication date: June 24, 2004
    Applicant: LG. Philips LCD Co., Ltd.
    Inventors: Myoung-Kee Baek, Kwon-Shik Park
  • Patent number: 6746615
    Abstract: An in-process microelectronics device is treated by applying a heated liquid to the surface of the in-process microelectronics device, removing a portion of the liquid from the surface of the in-process microelectronics device and applying anhydrous HF gas to the surface of the in-process microelectronics device.
    Type: Grant
    Filed: September 14, 2000
    Date of Patent: June 8, 2004
    Assignee: FSI International, Inc.
    Inventor: Christina Ann Ellis
  • Patent number: 6740247
    Abstract: The invention provides HF vapor process conditions that can be precisely controlled with a high degree of reproducibility for a wide range of starting wafer conditions. These HF vapor processes for, e.g., etching oxide on a semiconductor substrate, cleaning a contaminant on a semiconductor substrate, removing etch residue from a metal structure on a semiconductor substrate, and cleaning a metal contact region of a semiconductor substrate. In the HF vapor process, a semiconductor substrate having oxide, a contaminant, metal etch residue, or a contact region to be processed is exposed to hydrofluoric acid vapor and water vapor in a process chamber held at temperature and pressure conditions that are controlled to form on the substrate no more than a sub-monolayer of etch reactants and products produced by the vapor as the substrate is processed by the vapor.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: May 25, 2004
    Assignee: Massachusetts Institute of Technology
    Inventors: Yong-Pil Han, Herbert H. Sawin
  • Publication number: 20040089908
    Abstract: A Schottky diode is fabricated by a sequence of fabrication by a sequence of fabrication steps. An active region of a semiconductor substrate is defined in which a Schottky diode is fabricated. At least first and second layers of insulating material are applied over the active area. A first layer of insulating material, having a first etching rate, is applied over the active area. A second layer of insulating material having a second, greater, etch rate is applied over the first layer of insulating material to a thickness that is about twice the thickness of the first layer of insulating material. The insulating material is patterned and a window is etched through the layers of insulating material to the semiconductor substrate. Metal is applied and unwanted metal is etched away leaving metal in the window forming a Schottky contact therein. One or more barrier layers may be employed.
    Type: Application
    Filed: October 29, 2003
    Publication date: May 13, 2004
    Inventors: John Charles Desko, Michael J. Evans, Chung-Ming Hsieh, Tzu-Yen Hsieh, Bailey R. Jones, Thomas J. Krutsick, John Michael Siket, Brian Eric Thompson, Steven W. Wallace
  • Patent number: 6720273
    Abstract: A device and a method capable of being carried out therewith for, preferably, anisotropically etching a substrate (10), in particular, a patterned silicon body, with the assistance of a plasma (14), is proposed. In the process, the plasma (14) is produced by a plasma source (13) to which a high-frequency generator (17) is connected for applying a high-frequency power. Moreover, this high-frequency generator is in communication with a first means which periodically changes the high-frequency power applied to the plasma source (13). Besides, provision is preferably made for a second means which adapts the output impedance of the high-frequency generator (17) to the prevailing impedance of the plasma source (13) which changes as a function of the high-frequency power.
    Type: Grant
    Filed: April 20, 2001
    Date of Patent: April 13, 2004
    Assignee: Robert Bosch GmbH
    Inventors: Volker Becker, Franz Laermer, Andrea Schilp, Thomas Beck
  • Publication number: 20040065957
    Abstract: A method of fabricating a semiconductor device includes the step of depositing a second insulating film on a first insulating film, patterning the second insulating film to form an opening therein, and etching the first insulating film while using the second insulating film as an etching mask, wherein a low-dielectric film is used for the second insulating film.
    Type: Application
    Filed: April 21, 2003
    Publication date: April 8, 2004
    Inventors: Kaoru Maekawa, Masahito Sugiura
  • Patent number: 6716763
    Abstract: A method for controlling striations and CD loss in a plasma etching method is disclosed. During the etching process, the substrate of semiconductor material to be etched is exposed first to plasma under a low power strike and subsequently to a conventional high power strike. CD loss has been found to be reduced by about 400 Angstroms and striations formed in the contact holes are reduced.
    Type: Grant
    Filed: November 26, 2001
    Date of Patent: April 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Bradley J. Howard
  • Patent number: 6716324
    Abstract: Provided is a method of forming a transparent, conductive film on a semiconductor layer formed on a substrate, by sputtering, wherein voltages are applied independently of each other to both a target and the substrate, respectively, and a bias voltage appearing in the substrate is controlled so as to form the transparent, conductive film on only a portion except for a defective region of the semiconductor layer, thereby restraining shunting of the transparent, conductive film and achieving excellent appearance thereof. Also provided are a defective region compensation method of a semiconductor layer, a photovoltaic element, and a method of producing the photovoltaic element.
    Type: Grant
    Filed: January 30, 2002
    Date of Patent: April 6, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventors: Toshihiro Yamashita, Yasuyoshi Takai, Hiroshi Izawa
  • Patent number: 6709976
    Abstract: The invention describes an improved method of fabricating trench structures. This method enhances trench structure reliability by reducing dielectric breakdown in high voltage applications, for example. The invention uses etching and thermal oxidation techniques to round and smooth the corners at the bottom of the trench structure. The smoothing of the trench corners reduces the electrical fields that cause insulator breakdown.
    Type: Grant
    Filed: July 29, 2002
    Date of Patent: March 23, 2004
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Nackieb M. Kamin, Stephen D. Russell, Stanley R. Clayton, Shannon D. Kasa
  • Publication number: 20040053490
    Abstract: A semiconductor device manufacturing method that makes it possible to assure the required size of flat areas at the wiring overlay nitride film and to form an SAC structure in which the selectivity is not lowered at corners is provided. The method comprises a first etching process in which an insulating film 106 is etched under conditions for forming a vertical opening (vertical conditions) to open up the insulating film 106 to a point near a wiring overlay nitride film 105, a second etching process in which the insulating film 106 is opened until 105 becomes exposed by etching the insulating film 106 a under conditions assuring a high ratio of selectivity relative to the wiring overlay nitride film 105 (SAC conditions (1)) and a third etching process in which an insulating film 106a located between a first electrode G and a second electrode G is removed by etching the insulating film under conditions with a low ratio of selectivity relative to the wiring overlay nitride film 105 (SAC conditions (2)).
    Type: Application
    Filed: September 16, 2002
    Publication date: March 18, 2004
    Inventors: Takeshi Nagao, Atsushi Yabata
  • Patent number: 6706200
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: March 16, 2004
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Publication number: 20040048489
    Abstract: A semiconductor device capable of compatibly suppressing a microloading effect (irregular etching) and over-etching also in formation of a fine contact hole requiring a high aspect ratio is obtained. This semiconductor device comprises a first conductive part, an insulator film having an opening formed on the first conductive part and a second conductive part electrically connected with the first conductive part through the opening. The insulator film includes an upper insulator film and a lower insulator film, stacked/formed at least around a connection part between the first conductive part and the second conductive part, consisting of different materials.
    Type: Application
    Filed: July 23, 2003
    Publication date: March 11, 2004
    Applicant: SANYO ELECTRIC CO., LTD.
    Inventors: Yoshinari Ichihashi, Takashi Goto
  • Patent number: 6703314
    Abstract: Provided is a method for forming a self aligned contact (SAC) of a semiconductor device that can minimize the loss of gate electrodes and hard mask. The method includes the steps of: providing a semiconductor substrate on which a plurality of conductive patterns are formed; forming a first insulation layer along the profile of the conductive patterns on the substrate; forming a second insulation layer on the substrate and simultaneously forming voids between the conductive patterns; forming a third insulation layer on the first insulation layer; and forming contact holes that expose the surface of the substrate between the conductive patterns by etching the third insulation layer and the second insulation layer covering the voids.
    Type: Grant
    Filed: December 3, 2002
    Date of Patent: March 9, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sung-Kwon Lee, Sang-Ik Kim, Chang-Youn Hwang, Weon-Joon Suh, Min-Suk Lee
  • Patent number: 6702950
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: March 9, 2004
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6680258
    Abstract: An opening through an insulating layer between a first layer and a second layer of a semiconductor device is formed where the second layer is a polysilicon or amorphous silicon hard mask layer. The polysilicon or amorphous silicon hard mask layer is etched to form at least one opening through the polysilicon or amorphous silicon hard mask layer using a patterning layer as a mask having at least one opening. The insulating layer is etched to form the opening through the insulating layer using the etched polysilicon or amorphous silicon hard mask layer as a mask. The etched polysilicon or amorphous silicon hard mask layer is nitridized prior to subsequent processing.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: January 20, 2004
    Assignee: ProMOS Technologies, Inc.
    Inventors: Yuan-Li Tsai, Yu-Piao Wang
  • Patent number: 6677248
    Abstract: Disclosed is a coaxial type signal line that solves problems associated with signal interference and the connection of signal lines that are generated in a radio frequency (RF) electrical system. A method for manufacturing the coaxial type signal line includes the steps of forming a groove on a substrate, forming a first ground line on a surface of the groove and a plain surface of the substrate, forming a first dielectric layer including dielectric material on the first ground line formed on the surface of the groove, forming a signal line on the first dielectric layer the signal line for transmitting signals, forming a second dielectric layer including dielectric material on the signal line and the first dielectric layer, and forming a second ground line on the first ground line and the second dielectric layer.
    Type: Grant
    Filed: November 8, 2001
    Date of Patent: January 13, 2004
    Assignee: Dynamic Solutions International, Inc.
    Inventors: Young-Se Kwon, In-Ho Jeong
  • Patent number: 6677247
    Abstract: A method of forming a contact in an integrated circuit between a first metalization layer and a silicon substrate. In one embodiment the method comprises forming a premetal dielectric layer over the silicon substrate, etching a contact hole through the premetal dielectric layer and then forming a thin silicon nitride layer on an outer surface of the contact hole. The silicon nitride layer reduces overetching that may otherwise occur when oxidation build-up is removed from the silicon interface within the contact hole by a preclean process. After the preclean process, the contact hole is then filled with one or more conductive materials. In various embodiments the silicon nitride layer is formed by exposing the contact hole to a nitrogen plasma, depositing the layer by a chemical vapor deposition process and depositing the layer by an atomic layer deposition process. In other embodiments, the method is applicable to the formation of vias through intermetal dielectric layers.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: January 13, 2004
    Assignee: Applied Materials Inc.
    Inventors: Zheng Yuan, Steve Ghanayem, Randhir P. S. Thakur
  • Patent number: 6673253
    Abstract: Three fundamental and three derived aspects of the present invention are disclosed. The three fundamental aspects each disclose a process sequence that may be integrated in a full process. The first aspect, designated as “latent masking”, defines a mask in a persistent material like silicon oxide that is held abeyant after definition while intervening processing operations are performed. The latent oxide pattern is then used to mask an etch. The second aspect, designated as “simultaneous multi-level etching (SMILE)”, provides a process sequence wherein a first pattern may be given an advanced start relative to a second pattern in etching into an underlying material, such that the first pattern may be etched deeper, shallower, or to the same depth as the second pattern. The third aspect, designated as “delayed LOCOS”, provides a means of defining a contact hole pattern at one stage of a process, then using the defined pattern at a later stage to open the contact holes.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: January 6, 2004
    Assignee: Kionix, Inc.
    Inventors: James E. Moon, Timothy J. Davis, Gregory J. Galvin, Kevin A. Shaw, Paul C. Waldrop, Sharlene A. Wilson
  • Patent number: 6667245
    Abstract: A microelectromechanical (MEM) switch is fabricated inexpensively by using processing steps which are standard for fabricating multiple metal layer integrated circuits, such as CMOS. The exact steps may be adjusted to be compatible with the process of a particular foundry, resulting in a device which is both low cost and readily integrable with other circuits. The processing steps include making contacts for the MEM switch from metal plugs which are ordinarily used as vias to connect metal layers which are separated by a dielectric layer. Such contact vias are formed on either side of a sacrificial metallization area, and then the interconnect metallization is removed from between the contact vias, leaving them separated. Dielectric surrounding the contacts is etched back so that they protrude toward each other. Thus, when the contacts are moved toward each other by actuating the MEM switch, they connect firmly without obstruction.
    Type: Grant
    Filed: December 13, 2001
    Date of Patent: December 23, 2003
    Assignee: HRL Laboratories, LLC
    Inventors: Lap-Wai Chow, Tsung-Yuan Hsu, Daniel J. Hyman, Robert Y. Loo, Paul Ouyang, James H. Schaffner, Adele Schmitz, Robert N. Schwartz
  • Patent number: 6656843
    Abstract: A single mask process is described for making a trench type fast recovery process. The single mask defines slots in a photoresist for locally removing strips of nitride and oxide from atop silicon and for subsequently etching trenches in the silicon. A boron implant is carried out in the bottoms of the trenches to form local P/N junctions. The oxide beneath the nitride is then fully stripped in the active area and only partly stripped in the termination area in which the trenches are wider spaced than in the active area. Aluminum is then deposited atop the active area and in the trenches, but is blocked from contact with silicon in the active area by the remaining nitride layer.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: December 2, 2003
    Assignee: International Rectifier Corporation
    Inventor: Igor Bol
  • Patent number: 6651678
    Abstract: A method of etching a semiconductor device preventing tapering of a gate electrode edge includes a main etching of an electrode or wiring material supported by a dielectric film at a semiconductor substrate surface to expose the dielectric film. After the main etching step, residues of the electrode or the wiring material by sequentially etching utilizing a first gas mixture including a halogen-containing gas and an additive gas suppressing etching of the dielectric film by the halogen-containing gas, and in a second gas mixture gas including the halogen-containing gas and the additive gas and having the additive gas amount in a larger concentration than the first gas mixture.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: November 25, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Shintani, Mutumi Tuda, Junji Tanimura, Takahiro Maruyama, Ryoichi Yoshifuku
  • Patent number: 6649533
    Abstract: A method and an apparatus for forming an under bump metallurgy layer over a contact pad area on an interconnect formed over a semiconductor substrate are provided which eliminate a pretreatment process for removing native oxide on the contact pad area prior to the deposition of the under bump metallurgy layer. In one embodiment, the removal of a cap layer which insulates the contact pad area and the deposition of the under bump metallurgy layer are carried out without leaving a vacuum environment.
    Type: Grant
    Filed: May 5, 1999
    Date of Patent: November 18, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventor: John A. Iacoponi
  • Patent number: 6642121
    Abstract: A method of controlling the quantity and uniformity of distribution of bonded oxygen atoms at the interface between the polysilicon and the monocrystalline silicon includes carrying out, after having loaded the wafer inside the heated chamber of the reactor and evacuated the chamber of the LPCVD reactor under nitrogen atmosphere, a treatment of the wafer with hydrogen at a temperature generally between 500 and 1200° C. and at a vacuum generally between 0.1 Pa and 60000 Pa. The treatment is performed at a time generally between 0.1 and 120 minutes, to remove any and all the oxygen that may have combined with the silicon on the surface of the monocrystalline silicon during the loading inside the heated chamber of the reactor even if it is done under a nitrogen flux. After such a hydrogen treatment, another treatment is carried out substantially under the same vacuum conditions and at a temperature generally between 700 and 1000° C. with nitrogen protoxide (N2O) for a time generally between 0.
    Type: Grant
    Filed: December 18, 2001
    Date of Patent: November 4, 2003
    Assignee: STMicroelectronics S.r.l.
    Inventors: Cateno M. Camalleri, Simona Lorenti, Denise Cali′, Patrizia Vasquez, Giuseppe Ferla
  • Patent number: 6638848
    Abstract: A method of rapid etching of an insulating film including an organic-based dielectric film without forming a damage layer or causing decline of the throughput, including the steps of forming an insulating film including an organic-based dielectric film such as a stacked film having a polyarylether film or other organic-based dielectric film and a silicon oxide-based dielectric film or other insulating film, forming a mask layer by patterning above the insulating film, and when etching the organic-based dielectric film portion, using ions or radicals containing NH group generated by gaseous discharge in a mixed gas of hydrogen gas and nitrogen gas or a mixed gas of ammonia gas for etching using the mask layer as an etching mask, to etch the insulating layer and form openings etc. while generating reaction products containing CN group.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: October 28, 2003
    Assignee: Sony Corporation
    Inventors: Masanaga Fukasawa, Shingo Kadomura
  • Patent number: 6630410
    Abstract: A method for forming an etched feature in a substrate such as an insulator layer of a semiconductor wafer is provided. In one embodiment, the method includes initially etching a substrate layer using a photoresist or other masking layer to form the etched feature (e.g., opening) to a selected depth, and depositing a self-aligning mask layer for a continued etch of the formed feature. In another embodiment of the method, the self-aligned mask is deposited onto a substrate having an etched opening or other feature, to protect the upper surface and corners of the substrate and sidewalls of the feature while the bottom portion of the opening is cleaned or material at the bottom portion of the opening is removed.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: October 7, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Shane J. Trapp, Kevin G. Donohoe
  • Patent number: 6624921
    Abstract: A window is mounted directly to an upper surface of a micromirror device chip. More particularly, the window is mounted above a micromirror device area on the upper surface of the micromirror device chip by a bead. The window in combination with the bead form a hermetic enclosure about the micromirror device area thus protecting the micromirror device area from moisture and contamination.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: September 23, 2003
    Assignee: Amkor Technology, Inc.
    Inventors: Thomas P. Glenn, Steven Webster, Roy Dale Hollaway
  • Patent number: 6620705
    Abstract: A method of forming a dielectric structure for a flash memory cell includes forming a first layer of silicon dioxide, forming a layer of silicon nitride on the first layer of silicon dioxide, and pretreating the silicon nitride layer. Pretreatment of the silicon nitride layer includes nitridation. The method further includes depositing a second layer of silicon dioxide on the pretreated silicon nitride layer. Nitridation of the silicon nitride can occur in a batch process or in a single wafer tool, such as a single wafer rapid thermal anneal (RTA) tool. The nitriding pretreatment of the nitride layer improves the integrity of the ONO structure and enables the second layer of silicon dioxide to be deposited rather than thermally grown. Because the nitride layer undergoes less change after deposition of the second layer of silicon dioxide, the present method improves the overall reliability of the ONO structure.
    Type: Grant
    Filed: December 5, 2001
    Date of Patent: September 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Robert B. Ogle, Arvind Halliyal