Silicon Oxide Patents (Class 438/756)
  • Patent number: 6352595
    Abstract: A method and a system are provided for cleaning a CMP pad. The method starts by applying chemicals onto the surface of the CMP pad. The chemicals are then allowed to react with a residue that may be on the pad to produce by-products. Next, the pad surface is rinsed to substantially remove the by-products. A mechanical conditioning operation is then performed on the surface of the pad. In one example, the wafer surface can be a metal, such as copper. Where the wafer surface is copper, the chemical is most preferably HCl, and a solution includes HCl and DI water. Where the wafer surface is oxide, the chemical is most preferably NH4OH, and the solution includes NH4OH and DI water. Generally, the CMP pad can be in the form of a linear belt, in the form of an round disk, or in any other mechanical or physical configuration.
    Type: Grant
    Filed: May 28, 1999
    Date of Patent: March 5, 2002
    Assignee: Lam Research Corporation
    Inventors: Julia S. Svirchevski, Katrina A. Mikhaylich
  • Patent number: 6348419
    Abstract: A method for adjusting an etch rate of a nitride layer, in accordance with the present invention includes, in a reaction chamber, providing a surface for depositing a nitride layer. The nitride layer is deposited on the surface by adjusting processing parameters to control an etch rate achievable for the nitride layer. The etch rate achievable results from the depositing step such that an ability to etch the nitride layer is determined by the adjustment of the process parameters. A refractive index measurement may be provided for monitoring the achievable etch rate for the nitride layer.
    Type: Grant
    Filed: August 18, 1999
    Date of Patent: February 19, 2002
    Assignees: Infineon Technologies AG, International Business Machines Corporation
    Inventors: Frank Grellner, Paul C. Jamison, Glen L. Miles, David C. Mosher, Emmanuel Batt
  • Patent number: 6333274
    Abstract: A trench is formed. A first TEOS film is deposited in the trench. Thereafter, the first TEOS film is etched back by a wet etching method up to a planarized surface of a substrate. In this way, seams and a void generated during the first TEOS film deposition step are exposed. This is attained by performing the etching under the conditions that an etching rate for the TEOS film of the upper portion of the trench is larger than that for the TEOS film of the bottom portion of the trench. Thereafter, a second TEOS film is deposited in the trench.
    Type: Grant
    Filed: March 31, 1998
    Date of Patent: December 25, 2001
    Assignees: Kabushiki Kaisha Toshiba, International Business Machines Corporation
    Inventors: Hiroyuki Akatsu, Soichi Nadahara, Takashi Nakao, Seiko Yoshida
  • Patent number: 6326314
    Abstract: The high Q inductor process for reducing substrate interaction of integrated inductors includes etching away some of the silicon substrate after the inductor has been formed on the substrate. A first etch process is performed to form an opening in the center of the inductor exposing the silicon substrate. A second etch process is performed to etch the exposed silicon substrate to form a trench in the silicon substrate. A third etch process is performed to etch the trench into an inverted pyramidal cavity within the substrate and extending beneath the inductor. The pyramidal cavity is then filled with a solution, such as spin-on-glass thereby providing mechanical support for the inductor.
    Type: Grant
    Filed: September 18, 1997
    Date of Patent: December 4, 2001
    Assignee: National Semiconductor Corporation
    Inventors: Richard Billings Merrill, Tsung-Wen Lee
  • Patent number: 6319839
    Abstract: A method for forming an IPO between two polysilicon layers that produces an oxide of superior uniformity and eliminates undercutting, stringer formation, fringe electric fields and plasma damage. The method modifies the prior art by using a densified TEOS mask to allow etching away of the substrate oxide and allow the selective etch of a subsequent non-densified TEOS layer. A high temperature thermal oxide (HTO) then covers the resulting formation. The thickness of the second TEOS layer can be controlled to prevent field fringing and the underlying HTO layer prevents undercutting and stringer formation.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: November 20, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Wen-Cheng Chien, Jen-Pan Wang
  • Patent number: 6319833
    Abstract: The formation and/or growth of dendrites emanating from Cu or Cu alloy lines into a bordering open dielectric field are prevented or substantially reduced by chemically removing a portion of the surface from the dielectric field and from between the lines after CMP by spraying the wafer with a chemical agent. Embodiments include removing up to 60Å of silicon oxide by spraying the wafer with an acidic solution, such as a solution comprising acetic acid and ammonium fluoride.
    Type: Grant
    Filed: December 7, 1998
    Date of Patent: November 20, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Diana M. Schonauer, Steven C. Avanzino, Kai Yang
  • Patent number: 6319861
    Abstract: A method for improving the quality of a deposited layer over a silicon substrate in a selective deposition where the silicon substrate has a native oxide layer thereon. A plasma reaction using a halogen compound as a reactive agent is performed so that the native oxide layer is transformed into a silicon halide layer and then removed at low pressure. A layer of the desired material is formed over the native oxide free silicon substrate surface by selective deposition.
    Type: Grant
    Filed: May 2, 2000
    Date of Patent: November 20, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Hsueh-Hao Shih, Alan Cheng, Juan-Yuan Wu
  • Patent number: 6316370
    Abstract: The present invention provides an etching composition which includes a polyhydric alcohol in combination with two inorganic acids. Preferably the etching composition of the present invention is a mixture of a glycol, nitric acid and hydrofluoric acid, with propylene glycol being preferred. The etching composition of the present invention achieves a selectivity of greater than 70:1, doped material to undoped material. The present invention provides an etching formulation which has increased selectivity of doped polysilicon to undoped polysilicon and provides an efficient integrated circuit fabrication process without requiring time consuming and costly processing modifications to the etching apparatus or production apparatus.
    Type: Grant
    Filed: August 24, 2000
    Date of Patent: November 13, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Garry A. Mercaldi, Donald L. Yates
  • Patent number: 6316366
    Abstract: A chemical mechanical polishing slurry precursor comprising urea, a second oxidizer, an organic acid, and an abrasive, and a method for using the chemical mechanical polishing slurry precursor to prepare a chemical mechanical polishing slurry with a first oxidizer and thereafter using the slurry to remove titanium, titanium nitride, and an aluminum alloy containing layers from a substrate.
    Type: Grant
    Filed: February 14, 2000
    Date of Patent: November 13, 2001
    Assignee: Cabot Microelectronics Corporation
    Inventors: Vlasta Brusic Kaufman, Shumin Wang
  • Patent number: 6313043
    Abstract: A method of manufacturing a field emission element including the steps of: depositing an emitter electrode film on the surface of an emitter portion forming recess formed on a substrate; forming an emitter portion of an emitter electrode by removing the emitter electrode film deposited on the bottom of the emitter portion forming recess; depositing a sacrificial film on the surface of the emitter electrode and on the bottom of the emitter portion forming recess, and thereafter depositing a second gate electrode film on the surface of the sacrificial film. With this manufacture method, field emission elements having small unevenness in vertical positions of emitter and gate electrodes can be formed.
    Type: Grant
    Filed: December 13, 1999
    Date of Patent: November 6, 2001
    Assignee: Yamaha Corporation
    Inventor: Atsuo Hattori
  • Publication number: 20010031562
    Abstract: A method is disclosed for forming an ultrathin oxide layer of uniform thickness. The method is particularly advantageous for producing uniformly thin interfacial oxides beneath materials of high dielectric permittivity, or uniformly thin passivation oxides. Hydrofluoric (HF) etching of a silicon surface, for example, is followed by termination of the silicon surface with ligands larger than H or F, particularly hydroxyl, alkoxy or carboxylic tails. The substrate is oxidized with the surface termination in place. The surface termination and relatively low temperatures moderate the rate of oxidation, such that a controllable thickness of oxide is formed. In some embodiments, the ligand termination is replaced with OH prior to further deposition. The deposition preferably includes alternating, self-limiting chemistries in an atomic layer deposition process, though any other suitable deposition process can be used.
    Type: Application
    Filed: February 22, 2001
    Publication date: October 18, 2001
    Inventors: Ivo Raaijmakers, Yong-Bae Kim, Marko Tuominen, Suvi P. Haukka
  • Patent number: 6303514
    Abstract: The invention relates to an aqueous phosphoric acid etch bath composition with a readily soluble silicon containing composition. The baths are used in the etching step of composite semiconductor device manufacturing.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: October 16, 2001
    Assignee: Ashland Inc.
    Inventors: Thomas B. Hackett, Zach Hatcher, III
  • Patent number: 6303506
    Abstract: An aqueous slurry-less composition for chemical-mechanical-polishing of a silicon dioxide workpiece comprising: a cationic surfactant that is soluble and ionized at neutral to alkaline pH conditions, in which the cationic surfactant is present in an aqueous slurry-less composition in an amount less than its critical micelle concentration.
    Type: Grant
    Filed: September 30, 1999
    Date of Patent: October 16, 2001
    Assignee: Infineon Technologies AG
    Inventors: Haruki Nojo, Ronald J. Schutz, Ravikumar Ramachandran
  • Patent number: 6300186
    Abstract: There is provided a method of manufacturing a semiconductor device having a MOS transistor formed on a silicon substrate, and a stacked capacitor constituted by an information storage electrode provided above the MOS transistor through an insulating interlayer and a counter-electrode separated from the information storage electrode due to the presence of a capacitor insulating film. In this method, the capacitor is formed by adding an impurity in a silicon oxide film which is formed on the insulating interlayer and used to shape the information storage electrode, and performing etching by using a chemical solution containing phosphoric acid, sulfuric acid, nitric acid, or a solution mixture thereof, or a chemical solution containing a solution mixture of an aqueous ammonia solution and a hydrogen peroxide solution to selectively remove the silicon oxide film added with the impurity.
    Type: Grant
    Filed: April 24, 1996
    Date of Patent: October 9, 2001
    Assignee: NEC Corporation
    Inventors: Toshiyuki Hirota, Shuji Fujiwara
  • Patent number: 6294478
    Abstract: SOI substrates are fabricated with sufficient quality and with good reproducibility. At the same time, conservation of resources and reduction of cost are realized by reuse of the wafer and the like. Carried out to achieve the above are a step of bonding a principal surface of a first substrate to a principal surface of a second substrate, the first substrate being Si substrate in which at least one layer of non-porous thin film is formed through a porous Si layer, a step of exposing the porous Si layer in a side surface of a bonding substrate comprised of the first substrate and the second substrate, a step of dividing the porous Si layer by oxidizing the bonding substrate, and a step of removing the porous Si and oxidized porous Si layer on the second substrate separated by the division of the porous Si layer.
    Type: Grant
    Filed: February 27, 1997
    Date of Patent: September 25, 2001
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kiyofumi Sakaguchi, Takao Yonehara
  • Patent number: 6294396
    Abstract: The barrier effectiveness of a barrier material with respect to a conductive material is evaluated by providing a silicon substrate and then etching said silicon substrate to define an opening therein. The barrier material is then deposited in the opening, followed by a deposition of the conductive material. The silicon substrate is then heated at a predetermined temperature, and reactions between the conductive material and the silicon substrate are detected using a SEM or an optical microscope.
    Type: Grant
    Filed: December 14, 1999
    Date of Patent: September 25, 2001
    Assignees: Advanced Micro Devices, Inc., Applied Materials Inc.
    Inventors: Takeshi Nogami, Susan Chen, Imran Hashim
  • Patent number: 6290863
    Abstract: The present invention provides a dynamic-flow system that uses a vacuum to pull an etchant or other processing agent through a nozzle onto the surface of the work object. The processing agent can only communicate with the vacuum and be pulled onto the wafer surface when the nozzle is sealed against the work object. Therefore, the processing agent is dispensed onto the surface of the wafer or other work object under a negative rather than a positive pressure. Accordingly, the dispensation of the processing agent is self-stopping in the event that seal of the nozzle against the work object fails. The present invention also provides a novel nozzle for dispensing a processing agent onto a selected area of a work object. The nozzle of the present invention provides a substantially unidirectional flow of processing agent along the surface of a work object and maximizes the contact time of the processing agent at the surface of the work object.
    Type: Grant
    Filed: July 31, 1999
    Date of Patent: September 18, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Paul A. Morgan, Kevin Torek
  • Patent number: 6287972
    Abstract: Chemical Mechanical Processing (CMP) is widely used for manufacturing semiconductors. CMP is very effective for planarizing geometry that are not widely isolated. One limiting aspect of CMP is that the deposition of the layer being planarized generally has an effective distance over which gaps can be filled. These gaps can fill with a residue that adversely effects the resultant semiconductor. A technique that inhibits the accumulation of residue deposits a sacrificial layer of material after deposition of a planarizing layer, but before CMP. This layer is selected so that it fills the gaps from the manufacturing process, but has little abrasive or solvent resistance. CMP is performed after the sacrificial layer is performed. However, since the gaps are filled, residues cannot collect. Then, after the CMP is performed, the sacrificial layer is removed by applying a solvent to the sacrificial layer. The choice of material for the sacrificial layer is also important.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: September 11, 2001
    Assignee: Philips Semiconductor, Inc.
    Inventors: David Ziger, Hunter Brugge
  • Patent number: 6287983
    Abstract: A nitride wet etch in which liquid TEOS is flowed directly into the hot phosphoric acid bath before wafer etching begins. This preloads the bath chemistry with silicate ions, and thus helps assure very high selectivity to silicon oxides.
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: September 11, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: Der'E Jan, Thomas M. Parrill, Brian K. Kirkpatrick
  • Patent number: 6284669
    Abstract: A power field effect transistor is disclosed that includes polysilicon gate bodies (40) and (42), which includes platinum silicide contact layers (74) and (78) disposed on the outer surfaces of bodies (40) and (42), respectively. In addition, the device comprises an n+drain region (64) which also has a platinum silicide drain contact layer (76) formed on its outer surface and platinum silicide source contact layers (75) and (77). During formation, sidewall spacers (50) and (52), as well as mask bodies (70) and (72) are used to ensure that platinum silicide layer (76) spaced apart from both gate bodies (40) and (42) and platinum silicide gate contact layers (74) and (78).
    Type: Grant
    Filed: October 7, 1998
    Date of Patent: September 4, 2001
    Assignee: Texas Instruments Incorporated
    Inventors: John P. Erdeljac, Louis N. Hutter, Jeffrey P. Smith, Han-Tzong Yuan, Jau-Yuann Yang, Taylor R. Efland, C. Matthew Thompson, John K. Arch, Mary Ann Murphy
  • Patent number: 6284670
    Abstract: After an Si wafer is anisotropically etched through an etching mask having an opening in an anisotropically etching solution, an etching face of the Si wafer emerged by the anisotropic etching is subjected to anodic oxidation by applying a positive voltage for anodic oxidation on the Si wafer. As a result, the etching face of the Si wafer is isotropically etched due to the anodic oxidation in the anisotropic etching solution. By the isotropic etching thus performed, a sharp corner formed at an end portion of a recess portion formed in the Si wafer by the anisotropic etching, is rounded. Because the isotropic etching reaction progresses very slowly in comparison with the anisotropic etching, control of the etching can be made easy and accurately. As a result, the thickness of the diaphragm can be prevented from being dispersed.
    Type: Grant
    Filed: July 23, 1998
    Date of Patent: September 4, 2001
    Assignee: Denso Corporation
    Inventors: Yoshitsugu Abe, Hiroshi Tanaka, Atsushi Sakaida, Toshihisa Taniguchi, Tsuyoshi Fukada
  • Patent number: 6280651
    Abstract: The formulations of the present invention etch doped silicon oxide compounds, such as BPSG and PSG layers, at rates greater than or equal to the etch rate of undoped silicon oxide such as thermal oxide. The formulations have the general composition of a chelating agent, preferably weakly to moderately acidic (0.1-10%; preferably 0.2-2.8%); a fluoride salt, which may be ammonium fluoride or an organic derivative of either ammonium fluoride or a polyammonium fluoride (1.65-7%; preferably 2.25-7%); a glycol solvent (71-98%; preferably 90-98%); and optionally, an amine.
    Type: Grant
    Filed: December 16, 1998
    Date of Patent: August 28, 2001
    Assignee: Advanced Technology Materials, Inc.
    Inventors: William A. Wojtczak, Long Nguyen, Stephen A. Fine
  • Patent number: 6277725
    Abstract: A method for fabricating a passivation layer on a metal pad. A conformal first silicon dioxide layer is formed on a substrate having a metal pad. A conformal first silicon nitride layer is formed on the first silicon dioxide layer, and then a second silicon dioxide layer is formed on the first silicon nitride layer by high density plasma chemical vapor deposition. The second silicon dioxide layer is planarized to expose the first silicon nitride layer. A portion of the first silicon nitride layer aligned over the metal pad is removed to expose the first silicon dioxide layer. A second silicon nitride layer is formed to cover the first silicon dioxide layer and the second silicon dioxide layer. In the above process, a thickness of the first silicon dioxide layer and a thickness of the second silicon nitride layer are precisely controlled.
    Type: Grant
    Filed: July 7, 1999
    Date of Patent: August 21, 2001
    Assignee: United Microelectronics Corp.
    Inventor: Chih-Hsiang Hsiao
  • Patent number: 6277749
    Abstract: A processing solution containing hydrogen peroxide, hydracid fluoride salt, and water is used for pre-cleaning prior to a step of forming a gate oxide film 14 by subjecting a silicon wafer 1 to a heat treatment. Tetraalkyl ammonium fluoride, ammonium fluoride or the like is used as hydracid fluoride salt.
    Type: Grant
    Filed: September 9, 1999
    Date of Patent: August 21, 2001
    Assignee: Hiatchi, Ltd.
    Inventor: Michimasa Funabashi
  • Patent number: 6277755
    Abstract: A method for fabricating an interconnect structure by a dual damascene process is described, in which a first low dielectric constant material is formed on a substrate, followed by forming a gradient silicon oxy-nitride layer on the first low dielectric constant. A second low dielectric constant layer is further formed on the gradient silicon oxy-nitride layer. A trench line is then formed in the second low dielectric constant material using the gradient silicon oxy-nitride layer as an etch-stop, followed by forming a via under the trench line.
    Type: Grant
    Filed: December 20, 1999
    Date of Patent: August 21, 2001
    Assignee: United Microelectronics Corp.
    Inventors: Shuenn-Jeng Chen, Chih-Ching Hsu
  • Patent number: 6277757
    Abstract: A method for fabricating funnel-shaped vias in semiconductor devices with an improved profile amelioration of the sharp angles at the onset of the via and at the intersection between the wet etch section (i.e., the bowl-shaped section) and the dry etch section (i.e., the straight section).
    Type: Grant
    Filed: June 1, 1999
    Date of Patent: August 21, 2001
    Assignee: Winbond Electronics Corp.
    Inventor: Chi-Fa Lin
  • Patent number: 6271143
    Abstract: A trench (110) for isolation is formed in a substrate (102) through an opening in a nitride masking layer (106). After the trench is formed, the opening in the nitride masking layer is widened uniformly by an isotropic etch (FIG. 8). This leaves the nitride masking layer uniformly recessed from the edge of the trench. The trench is then filled with oxide and, with CMP, is etched back so that there is a nearly planar surface with oxide (114b) extending outside the trench wall along the surface and abutting the recessed nitride masking layer (106). The nitride masking layer is then removed so that there is left an oxide overlap portion (114b) which extends outside the trench wall. Subsequent oxide etches which are required for formation of transistors etch the oxide overlap portion instead of etching down into the oxide along the sidewall of the trench whereby an improved device is formed.
    Type: Grant
    Filed: May 6, 1999
    Date of Patent: August 7, 2001
    Assignee: Motorola, Inc.
    Inventor: Michael A. Mendicino
  • Patent number: 6261969
    Abstract: The method of manufacturing a semiconductor apparatus can solve problems in that a semiconductor film is not separated completely from a substrate and a great quantity of etchant is required. Ammonium fluoride is added to a hydrofluoric acid solution, so as to improve the etching rate and promote separation of the semiconductor film from the substrate. A manufacturing apparatus according to the present invention is provided with a re-liquefying function capable of again liquefying vapor of hydrofluoric acid solution so as to use liquefied vapor as the etchant so that the etchant is saved.
    Type: Grant
    Filed: December 27, 1996
    Date of Patent: July 17, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yoshinori Matsuno, Hiroaki Morikawa
  • Patent number: 6261952
    Abstract: Cu diffusion between Cu and Cu alloy interconnect members, e.g., lines, is avoided or substantially reduced by selectively removing an upper portion of the inter-layer dielectric between neighboring lines to form a recess and depositing a diffusion barrier layer filling the recess between neighboring lines. Embodiments include filling damascene trenches in a silicon oxide inter-layer dielectric with Cu or a Cu alloy, CMP to effect planarization such that the upper surfaces of the lines are substantially coplanar with the upper surface of the inter-layer dielectric, and double-sided brush scrubbing with water. The planarized surface is then etched, as by treatment with a HF solution, to selectively remove silicon oxide between neighboring lines to form a recess therebetween.
    Type: Grant
    Filed: October 4, 1999
    Date of Patent: July 17, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Fei Wang
  • Patent number: 6255219
    Abstract: The present invention provides a method for fabricating a submicron metal-oxide semiconductor field-effect transistor (MOSFET). The method includes providing a gate on a substrate, the substrate having a source side and a drain side, the drain side having a spacer area; forming a spacer at the spacer area; and performing a halo implant at the source side and the drain side, wherein the spacer prevents implantation in the spacer area, wherein the spacer facilitates formation of a lateral asymmetric channel. In the preferred embodiment, the spacer is formed by depositing an oxide layer on the gate and substrate, and then avoiding nitrogen implantation of the oxide layer in the spacer area while implanting nitrogen in the remainder of the oxide layer. The difference in the etch rates of oxide implanted with nitrogen and oxide not implanted with nitrogen allows for a selective etch of the oxide layer, resulting in the spacer in the spacer area.
    Type: Grant
    Filed: September 7, 1999
    Date of Patent: July 3, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Qi Xiang, Wei Long
  • Patent number: 6254796
    Abstract: A silicate glass is selectively etched employing a composition containing a fluoride containing compound and certain organic solvents. Preferred compositions also include water.
    Type: Grant
    Filed: December 29, 1998
    Date of Patent: July 3, 2001
    Assignee: International Business Machines Corporation
    Inventors: David L. Rath, Glenn W. Gale, Rangarajan Jagannathan, Kenneth J. McCullough, Karen P. Madden, Harald F. Okorn-Schmidt, Keith R. Pope
  • Patent number: 6245682
    Abstract: This invention relates to the fabrication of integrated circuit devices and more particularly to a method for forming and then later removing a silicon oxynitride, SiON, anti-reflection coating (ARC) over a semiconductor substrate, for the purpose of enhancing the resolution of photolithographically defined sub-micron polysilicon gates. The problem addressed by this invention is that the SiON ARC must first be used to reduce optical reflection from a blanket polysilicon surface, during the photolithography exposure step that defines the sub-micron polysilicon gate features, and then the ARC must be removed by a wet etch process that will not chemically attack the gate oxide under the polysilicon gate features or any exposed polysilicon surfaces.
    Type: Grant
    Filed: March 11, 1999
    Date of Patent: June 12, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chu-Yun Fu, Syun-Ming Jang
  • Patent number: 6240933
    Abstract: The invention encompasses methods for cleaning surfaces of wafers or other semiconductor articles. Oxidizing is performed using an oxidation solution which is wetted onto the surface. The oxidation solution can include one or more of: water, ozone, hydrogen chloride, sulfuric acid, or hydrogen peroxide. A rinsing step removes the oxidation solution and inhibits further activity. The rinsed surface is thereafter preferably subjected to a drying step. The surface is exposed to an oxide removal vapor to remove semiconductor oxide therefrom. The oxide removal vapor can include one or more of: acids, such as a hydrogen halide, for example hydrogen fluoride or hydrogen chloride; water; isopropyl alcohol; or ozone. The processes can use centrifugal processing and spraying actions.
    Type: Grant
    Filed: May 9, 1997
    Date of Patent: June 5, 2001
    Assignee: Semitool, Inc.
    Inventor: Eric J. Bergman
  • Patent number: 6242331
    Abstract: A method for developing a semiconductor device low resistance electrical contact is described. In this process a gate oxide layer followed by a polysilicon layer is deposited on the semiconductor substrate in proximity to the device contact area. It is subsequently patterned with photoresist and etched to produce the desired gate structure. This is followed by a deposited layer of silicon dioxide or silicon nitride (SIN) which is appropriately patterned and etched to form gate isolation spacers. Then a nominal 300 Å layer of silicon nitride (SIN) is deposited followed by a layer of tetraethyl orthosilicate (TEOS) or borophosphosilicate glass (BPSG). The contact area is defined by photolithography, and the passivation layers are etched either by a dry etch such as a RIE process, or a combination of a wet BOE process followed by a dry etch, to form the metal contact holes.
    Type: Grant
    Filed: December 20, 1999
    Date of Patent: June 5, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Cheng-Yu Chu, Te-Fu Tseng, Chai-Der Chang, Chi-Hung Liao
  • Patent number: 6238590
    Abstract: A method of polishing selected ceramics and metals is provided wherein the selected ceramic or metal material is rubbed against a solid surface in the presence of a nonabrasive liquid medium which only attacks the selected ceramic or metal material under friction. Examples of materials for the tribochemical polishing process includes ceramics such as silicon, silicon nitride, silicon carbide, silicon oxide, titanium carbide and aluminum nitride and metals such as tungsten. Both ceramic and metal surfaces can be polished, as in a damascene structure of an integrated circuit.
    Type: Grant
    Filed: December 14, 1998
    Date of Patent: May 29, 2001
    Assignee: Trustees of Stevens Institute of Technology
    Inventors: Traugott E. Fischer, Jianjun Wei, Sangrok Hah
  • Patent number: 6238580
    Abstract: A wet and vapor acid etching method releases a microelectromechanical systems (MEMS) structure from a substrate by dissolving a sacrificial layer disposed between the MEMS and the substrate. The sacrificial layer may be a silicon dioxide (SiO2) layer having a field portion over which the MEMS does not extend and a support portion over which the MEMS does extend. The field portion of the SiO2 layer is quickly removed using conventional wet hydrofluoric (HF) etching followed by rinsing and drying and then the support portion is removed using conventional vapor HF etching from a solution greater than 45% by weight percent. The wet HF chemical etch quickly removes the large field portion of the sacrificial layer. The HF vapor etch removes the small support portion of the sacrificial layer below the MEMS to release the MEMS from the substrate without stiction thereby preventing damage to the MEMS when released.
    Type: Grant
    Filed: December 14, 1999
    Date of Patent: May 29, 2001
    Assignee: The Aerospace Corporation
    Inventors: Robert C. Cole, Ruby E. Robertson, Allyson D. Yarbrough
  • Patent number: 6235638
    Abstract: A process for producing multiple undercut profiles in a single material. A resist pattern is applied over a work piece and a wet etch is performed to produce an undercut in the material. This first wet etch is followed by a polymerizing dry etch which produces a polymer film in the undercut created by the first wet etch. The polymer film prevents further etching of the undercut portion during a second wet etch. Thus, an undercut profile can be obtained having a larger undercut in an underlying portion of the work piece, utilizing only a single resist application step. The work piece may be a multi-layer work piece having different layers formed of the same material, or it may be a single layer of material.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: May 22, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Karen Huang, Christophe Pierrat
  • Patent number: 6232240
    Abstract: A method for forming a capacitor on a substrate is disclosed herein. The method according to the present invention can increase the capacitance of a capacitor in one interface-etching process, the method mention above includes the following step. The first step is to form a storage node in a dielectric layer on the substrate, wherein the bottom of a cubic portion of the storage node faces the substrate is buried in the dielectric layer, and the storage node is coupled to the substrate. Next, interface-etching the dielectric layer to expose the surface including the bottom of the cubic portion of the storage node. In etching the dielectric layer made of BPSG, the buffer oxide etching (B.O.E) is utilized. Then an insulating layer is formed on the exposed surface including the bottom of the cubic portion of the storage node. Finally, a conductive layer is formed on the insulating layer. The storage node, the insulating layer, and the conductive layer constitute the capacitor.
    Type: Grant
    Filed: January 29, 1999
    Date of Patent: May 15, 2001
    Assignee: Vanguard International Semiconductor Corporation
    Inventor: Chia-Ching Tung
  • Patent number: 6228770
    Abstract: A new method of forming metal interconnects with air gaps between adjacent interconnects in the manufacture of an integrated circuit device is achieved. A semiconductor substrate is provided. The metal interconnects are formed overlying the semiconductor substrate. A silicon nitride liner layer is deposited. A gap filling oxide layer is deposited to fill gaps between adjacent metal interconnects. The gap filling oxide layer is polished down to the silicon nitride liner layer. A silicon nitride thin layer is deposited. The silicon nitride thin layer is patterned using an oversized, reverse mask of the metal interconnects. The patterning of the silicon nitride thin layer creates openings to thereby expose a portion of the gap filling oxide. The gap filling oxide layer is etched away. A self-sealing oxide layer is deposited overlying the silicon nitride thin layer and the silicon nitride liner layer.
    Type: Grant
    Filed: March 21, 2000
    Date of Patent: May 8, 2001
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Yelehanka Ramachandramurthy Pradeep, Vijai Kumar Chhagan, Henry Gerung, Madhusudan Mukhopadhyay
  • Patent number: 6221785
    Abstract: A method for forming shallow trench isolations includes the steps of defining a wafer substrate, forming a silicon dioxide insulating layer on the substrate, depositing a silicon nitride layer on the silicon dioxide insulating layer, and forming at least one trench in the substrate through the silicon dioxide and silicon nitride layers. The method also includes the steps of depositing a silicon dioxide layer over the silicon nitride layer and in the trench, removing the silicon dioxide layer deposited over the silicon nitride layer, anisotropically etching the silicon dioxide layer to produce silicon dioxide sidewalls in the trench contiguous with the silicon nitride layer, isotropically etching to remove the sidewalls and removing the silicon nitride layer.
    Type: Grant
    Filed: September 17, 1998
    Date of Patent: April 24, 2001
    Assignee: Winbond Electronics Corporation
    Inventor: Yu-Chung Tien
  • Patent number: 6218305
    Abstract: A method is provided for polishing a composite comprised of silica and silicon nitride wherein a polishing composition is used comprising: an aqueous medium, abrasive particles, a surfactant, an organic polymer viscosity modifier which increases the viscosity of the composition, and a compound which complexes with the silica and silicon nitride wherein the complexing agent has two or more functional groups each having a dissociable proton, the functional groups being the same or different.
    Type: Grant
    Filed: May 25, 2000
    Date of Patent: April 17, 2001
    Assignee: Rodel Holdings, Inc.
    Inventors: Sharath D. Hosali, Anantha R. Sethuraman, Jiun-Fang Wang, Lee Melbourne Cook, Michael R. Oliver
  • Patent number: 6218268
    Abstract: A method for forming a BPSG film from a two-step deposition process and related apparatus and devices. A conformal layer of BPSG is deposited on a substrate. A more stable layer of BPSG is deposited at a higher deposition rate over the conformal layer. The method is suitable for filling trenches at least as narrow as 0.06 microns with aspect ratios of at least 5.5:1.
    Type: Grant
    Filed: May 5, 1998
    Date of Patent: April 17, 2001
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Ellie Yieh, Maria Galiano, Francimar Campana, Shankar Chandran
  • Patent number: 6211057
    Abstract: In accordance with the objectives of the invention a new method of forming air gaps between adjacent conducting lines of a semiconductor circuit is achieved. A pattern of metal lines is deposited over an insulating layer. A layer of oxynitride (SiON) is deposited over the pattern of metal lines and the exposed surface of the insulating layer. PECVD oxide is deposited over the layer of oxynitride; the PECVD oxide is removed down to the top surface of the layer of oxynitride overlying the metal pattern. A layer of SOON is deposited over the surface of the polished oxynitride and the polished PECVD oxide. A trench is etched between the conducting line pattern through the layer of SOON and into the PECVD oxide. The profile of this trench is aggressively expanded converting the trench profile from a rectangular profile into an arch-shaped profile. The top region of the arch-shaped profile is closed off by depositing a layer of dielectric over the surface of the layer of SOON.
    Type: Grant
    Filed: September 3, 1999
    Date of Patent: April 3, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-Chi Lin, Yen-Ming Chen
  • Patent number: 6207517
    Abstract: The invention defines a method for fabricating a semiconductor insulation layer: A semiconductor substrate is first provided; an insulation layer is applied by way of region-by-region or whole-area application to the semiconductor substrate; impurity ions are selectively implanted into at least one predetermined zone of the insulation layer; then the insulation layer is selectively etched, and the insulation layer is thereby patterned in accordance with the zone or zones of the selectively implanted impurity ions. Likewise, the present invention provides a method for fabricating a semiconductor component containing this semiconductor insulation layer.
    Type: Grant
    Filed: August 18, 1999
    Date of Patent: March 27, 2001
    Assignee: Siemens Aktiengesellschaft
    Inventor: Karlheinz Müller
  • Patent number: 6200897
    Abstract: A method for manufacturing an even dielectric layer. A substrate having a patterned conductive layer formed thereon is provided. A first dielectric layer with a relatively high dopant dosage is formed on the substrate and the patterned conductive layer. A second dielectric layer with a relatively low dopant dosage is formed on the first dielectric layer. A chemical-mechanical polishing process is formed.
    Type: Grant
    Filed: June 6, 1999
    Date of Patent: March 13, 2001
    Assignees: United Semiconductor Corp., United Microelectronics Corp.
    Inventors: Brian Wang, Chih-Ching Hsu
  • Patent number: 6200909
    Abstract: The present invention relates to the fabrication of integrated circuit devices, and more particularly, to a method of etching inorganic antireflective layers without etching excessive amounts of an underlying oxide.
    Type: Grant
    Filed: February 1, 2000
    Date of Patent: March 13, 2001
    Assignee: Micron Technology Inc.
    Inventors: Kevin James Torek, Whonchee Lee, Satish Bedge
  • Patent number: 6192899
    Abstract: A method for cleaning polymer film residues from in-process integrated circuit devices is disclosed. Specifically, a method for forming a contact via in an integrated circuit is disclosed in which the formation of a metallization conductive element is exposed through a dry anisotropic etch. During the etch, a polymer film residue forms from masking materials, and coats the newly-formed via. The polymer film may have metals incorporated metals therein from the metallization conductive element. A fluorine based etchant is used to remove the polymer film. Protection of the metallization conductive element during the cleaning process is accomplished with passivation additives comprising straight, branched, cyclic, and aromatic hydrocarbons. Attached to the hydrocarbons are functional groups comprising at least 3 hydroxyls.
    Type: Grant
    Filed: January 10, 2000
    Date of Patent: February 27, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Donald L. Westmoreland, Donald L. Yates
  • Patent number: 6194320
    Abstract: In a method for preparing a semiconductor device wherein a first silicon oxide film, a second silicon oxide film and a silicon nitride film are sequentially deposited on a silicon substrate, and both silicon oxide films and the silicon nitride film are patterned, a patterned resist 45 is formed on the silicon nitride film, the silicon nitride film is etched with phosphoric acid the resist serving as a mask, and both silicon oxide films are etched with hydrofluoric acid the resist serving as a mask.
    Type: Grant
    Filed: August 7, 1997
    Date of Patent: February 27, 2001
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Makoto Oi
  • Patent number: 6191037
    Abstract: Methods, apparatuses and substrate assembly structures for mechanical and chemical-mechanical planarizing processes used in the manufacturing microelectronic-device substrate assemblies. One aspect of the invention is directed toward a method for planarizing a microelectronic-device substrate assembly by removing material from a surface of the substrate assembly, detecting a first change in drag force between the substrate assembly and a polishing pad indicating that the substrate surface is planar, and identifying a second change in drag force between the substrate assembly and the polishing pad indicating that the planar substrate surface is at the endpoint elevation. After the second change in drag force is identified, the planarization process is stopped.
    Type: Grant
    Filed: September 3, 1998
    Date of Patent: February 20, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Karl M. Robinson, Pai Pan
  • Patent number: 6191047
    Abstract: The present invention is directed toward building a microelectronic device in which a semiconductor substrate has thereon an etch buffer layer used in a processing method in which the buffer layer will act as an etch uniformity aid. In a method of making the microelectronic device, a semiconductor substrate is covered with an etch buffer layer and with an insulative layer. A first etch is performed by patterning and etching through a mask. The first etch penetrates the insulative layer, forms a cavity therein, and is selective to the buffer layer so as to expose the buffer layer. A second etch is performed that is selective to the insulative layer and the semiconductor substrate, and is not selective to the buffer layer. The buffer layer can be an insulative material of a type other than the material of the insulative layer or the buffer layer can also be of a conductive material.
    Type: Grant
    Filed: June 20, 2000
    Date of Patent: February 20, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Zhiqiang Wu, Kunal R. Parekh