Silicon Oxide Patents (Class 438/756)
  • Patent number: 7098099
    Abstract: The present invention provides, in one embodiment, a method of fabricating a semiconductor device (100). In one embodiment, the method includes growing an oxide layer 120 from a substrate 104, 106 over a first dopant region 122 and a second dopant region 128, implanting a first dopant through the oxide layer 120, into the substrate 104 in the first dopant region 122, and adjacent a gate structure 114, and substantially removing the oxide layer 120 from the substrate within the second dopant region 128. Subsequent to the removal of the oxide layer 120 in the second dopant region 128, a second dopant that is opposite in type to the first dopant is implanted into the substrate 106 and within the second dopant region 128 and adjacent a gate structure 114.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: August 29, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Brian E. Hornung, Jong Yoon, Deborah J. Riley, Amitava Chatterjee
  • Patent number: 7094131
    Abstract: A microelectronic substrate and method for removing conductive material from a microelectronic substrate. In one embodiment, the microelectronic substrate includes a conductive or semiconductive material with a recess having an initially sharp corner at the surface of the conductive material. The corner can be blunted or rounded, for example, by applying a voltage to an electrode in fluid communication with an electrolytic fluid disposed adjacent to the corner. Electrical current flowing through the corner from the electrode can oxidize the conductive material at the corner, and the oxidized material can be removed with a chemical etch process.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: August 22, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Scott G. Meikle, Scott E. Moore
  • Patent number: 7084072
    Abstract: Disclosed is a method of manufacturing a semiconductor device. The method includes the steps of forming a gate in a cell region and a peripheral region of a substrate, depositing a buffer oxide layer on the gate and the substrate, annealing a resultant structure of the substrate, depositing a nitride spacer layer on the buffer oxide layer, depositing an oxide spacer layer on the nitride spacer layer, forming an oxide spacer at the peripheral region of the substrate, and removing the oxide spacer layer remaining in the cell region. The annealing step is additionally carried out after depositing the buffer oxide layer so as to improve the interfacial surface characteristic and film quality, so that oxide etchant is prevented from penetrating into the silicon substrate during the wet dip process. Unnecessary voids are prevented from being created in the silicon substrate.
    Type: Grant
    Filed: June 23, 2004
    Date of Patent: August 1, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Cheol Hwan Park, Sang Ho Woo, Chang Rock Song, Dong Su Park, Tae Hyeok Lee
  • Patent number: 7084073
    Abstract: A method of forming a via hole through a glass wafer includes depositing a material layer on an outer surface of the glass wafer, the material layer having a selection ratio higher than that of the glass wafer, forming a via-patterned portion on one side of the material layer, performing a first etching in which the via-patterned portion is etched to form a preliminary via hole, eliminating any remaining patterning material used in the formation of the via-patterned portion, performing a second etching in which the preliminary via hole is etched to form a via hole having a smooth surface and extending through the glass wafer, and eliminating the material layer. The method according to the present invention is able to form a via hole through a glass wafer without allowing formation of an undercut or minute cracks, thereby increasing the yield and reliability of MEMS elements.
    Type: Grant
    Filed: October 9, 2003
    Date of Patent: August 1, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Moon-chul Lee, Hyung Choi, Kyu-dong Jung, Mi Jang, Seog-woo Hong, Seok-whan Chung, Chan-bong Jun, Seok-jin Kang
  • Patent number: 7081417
    Abstract: To provide a planarization method which does not depend upon the size and the density of a wiring pattern and in which a reliable wiring system and a Josephson device can be formed and wiring structure, an insulation layer is planarized by forming a reversal pattern mask of wiring and selectively removing the insulation layer on the wiring.
    Type: Grant
    Filed: June 24, 2004
    Date of Patent: July 25, 2006
    Assignees: Hitachi, Ltd., NEC Corporation, International Superconductivity Technology Center, the Judicial Foundation
    Inventors: Kenji Hinode, Shuichi Nagasawa, Yoshihiro Kitagawa, Mutsuo Hidaka, Keiichi Tanabe
  • Patent number: 7074725
    Abstract: An improved method of manufacturing a capacitor on a semiconductor substrate is disclosed. A portion of an insulation film on a semiconductor substrate is etched to form a first opening in the insulation film. A passivation film is formed on the insulation film and within the first opening thereof. A portion of the passivation film on a bottom of the first opening is thinner than portions of the passivation film on the insulation film and on a sidewall of the first opening. The passivation film is etched to expose the bottom of the first opening.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: July 11, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Sik Hong, Young-Ki Hong, Tae-Hyuk Ahn, Jong-Seo Hong
  • Patent number: 7067390
    Abstract: Disclosed is a method for forming an isolation layer of a semiconductor device. The method includes the steps of providing a semiconductor substrate having a predetermined isolation region, sequentially forming a pad oxide layer and a pad nitride layer exposing the predetermined isolation region on the semiconductor substrate, forming a trench through etching the semiconductor substrate by a predetermined thickness using the pad nitride layer as a mask, forming a wall oxide layer at a side wall of the trench, sequentially forming a nitride layer and an oxide layer on a trench structure including the wall oxide layer, forming an Al2O3 layer on an entire surface of a resultant structure, planarizing the Al2O3 layer through polishing the Al2O3 layer, and forming the isolation layer by removing the pad nitride layer.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: June 27, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventors: Su Ho Kim, Yun Hyuck Ji
  • Patent number: 7067425
    Abstract: A method of manufacturing a flash memory device includes the steps of forming a nitride film on an entire surface of a trench by means of an annealing process to prevent implanted ions for adjusting a threshold voltage from diffusing to a device isolation region, and forming a side wall oxide film on a surface of the nitride film. The nitride film plays a role of preventing ions implanted into a substrate for adjusting a threshold voltage from flowing into the side wall oxidation film.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: June 27, 2006
    Assignee: Hynix Semiconductor Inc.
    Inventor: Keun Woo Lee
  • Patent number: 7060631
    Abstract: The invention encompasses a semiconductor processing method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising Cl?, NO3? and F?. The invention also includes a semiconductor processing method of forming an opening to a copper-containing substrate. Initially, a mass is formed over the copper-containing substrate. The mass comprises at least one of a silicon nitride and a silicon oxide. An opening is etched through the mass and to the copper-containing substrate. A surface of the copper-containing substrate defines a base of the opening, and is referred to as a base surface. The base surface of the copper-containing substrate is at least partially covered by at least one of a copper oxide, a silicon oxide or a copper fluoride.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: June 13, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Morgan
  • Patent number: 7056836
    Abstract: In a method for manufacturing a semiconductor device, a first silicon oxide film is formed on a semiconductor substrate. The first silicon oxide film is nitrided so that silicon oxynitride forms at an interface between the semiconductor substrate and the first silicon oxide film. The first silicon oxide film is removed from a portion of the semiconductor substrate using a chemical containing at least an ammonia-hydrogen peroxide solution so that the silicon oxynitride formed at the interface between the portion of the semiconductor substrate and the first silicon oxide film is completely removed. Thereafter, a second silicon oxide film is formed in the portion of the semiconductor substrate from which the first silicon oxide film and the silicon oxynitride have been removed.
    Type: Grant
    Filed: July 10, 2003
    Date of Patent: June 6, 2006
    Assignee: Seiko Instruments Inc.
    Inventor: Hitomi Watanabe
  • Patent number: 7052617
    Abstract: A process for producing multiple undercut profiles in a single material. A resist pattern is applied over a work piece and a wet etch is performed to produce an undercut in the material. This first wet etch is followed by a polymerizing dry etch that produces a polymer film in the undercut created by the first wet etch. The polymer film prevents further etching of the undercut portion during a second wet etch. Thus, an undercut profile can be obtained having a larger undercut in an underlying portion of the work piece, utilizing only a single resist application step. The work piece may be a multi-layer work piece having different layers formed of the same material, or it may be a single layer of material.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Karen Huang, Christophe Pierrat
  • Patent number: 7045468
    Abstract: A MOSFET structure in which the channel region is contiguous with the semiconductor substrate while the source and drain junctions are substantially isolated from the substrate, includes a dielectric volume formed adjacent and subjacent to portions of the source and drain regions. In a further aspect of the invention, a process for forming an isolated junction in a bulk semiconductor includes forming a dielectric volume adjacent and subjacent to portions of the source and drain regions.
    Type: Grant
    Filed: August 21, 2003
    Date of Patent: May 16, 2006
    Assignee: Intel Corporation
    Inventor: Chunlin Liang
  • Patent number: 7041603
    Abstract: There is provided a magnetic memory device which has a small switching current for a writing line and which has a small variation therein. A method for producing such a magnetic memory device includes: forming a magnetoresistive effect element; forming a first insulating film so as to cover the magnetoresistive effect element; forming a coating film so as to cover the first insulating film; exposing a top face of the magnetoresistive effect element; forming an upper writing line on the magnetoresistive effect element; exposing the first insulating film on a side portion of the magnetoresistive effect element by removing a part or all of the coating film; and forming a yoke structural member so as to cover at least a side portion of the upper writing line and so as to contact the exposed first insulating film on the side portion of the magnetoresistive effect element.
    Type: Grant
    Filed: March 26, 2003
    Date of Patent: May 9, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Minoru Amano, Tatsuya Kishi, Yoshiaki Saito, Tomomasa Ueda, Hiroaki Yoda
  • Patent number: 7037793
    Abstract: A method of forming a transistor involves firstly forming at least one gate structure on a semiconductor substrate. Then, a surface cleaning process is performed. In the surface cleaning process, a chemical oxidation method is utilized for forming a first oxide layer on a surface of the semiconductor substrate not covered with the gate structure and the first oxide layer is removed subsequently. Finally, a selective epitaxial growth method is utilized for forming a first epitaxial layer on the surface of the semiconductor substrate.
    Type: Grant
    Filed: February 9, 2004
    Date of Patent: May 2, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Cheng Chien, Ya-Lun Cheng, Yu-Kun Chen
  • Patent number: 7029937
    Abstract: A depression is formed from a first surface of a semiconductor substrate. An insulating layer is provided on the bottom surface and an inner wall surface of the depression. A conductive portion is provided inside the insulating layer. A second surface of the semiconductor substrate is etched by a first etchant having characteristics such that the etching amount with respect to the semiconductor substrate is greater than the etching amount with respect to the insulating layer, and the conductive portion is caused to project while covered by the insulating layer. At least a portion of the insulating layer formed on the bottom surface of the depression is etched with a second etchant having characteristics such that at least the insulating layer is etched without forming a residue on the conductive portion, to expose the conductive portion.
    Type: Grant
    Filed: November 10, 2003
    Date of Patent: April 18, 2006
    Assignee: Seiko Epson Corporation
    Inventor: Ikuya Miyazawa
  • Patent number: 7030020
    Abstract: A new method to form MOS gates in an integrated circuit device is achieved. The method comprises forming a dielectric layer overlying a substrate. A polysilicon layer is formed overlying the dielectric layer. A patterned masking layer with an opening is formed overlying the polysilicon layer. Through the opening, the polysilicon layer is oxidized to form a first silicon oxide layer at the bottom of the opening. Thereafter the masking layer is removed and the polysilicon layer is exposed. The exposed polysilicon layer is then etched through using the first silicon oxide layer as a mask to form MOS floating gates. The first silicon oxide layer is then removed. A second conductor layer is then deposited overlying the MOS floating gates for forming control gates.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: April 18, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Chia-Ta Hsieh
  • Patent number: 7022605
    Abstract: A first precursor gas is flowed to the substrate within the chamber effective to form a first monolayer on the substrate. A second precursor gas different in composition from the first precursor gas is flowed to the first monolayer within the chamber under surface microwave plasma conditions within the chamber effective to react with the first monolayer and form a second monolayer on the substrate which is different in composition from the first monolayer. The second monolayer includes components of the first monolayer and the second precursor. In one implementation, the first and second precursor flowings are successively repeated effective to form a mass of material on the substrate of the second monolayer composition. Additional and other implementations are contemplated.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: April 4, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Trung Tri Doan, Guy T. Blalock, Gurtej S. Sandhu
  • Patent number: 7005380
    Abstract: A semiconductor device manufacturing method is provided where a device structure is formed on top of a wafer that comprises a backside semiconductor substrate, a buried insulator layer and a top semiconductor layer. Then, an etch stop layer is formed upon the wafer that carries the device structure, and a window is formed in the etch stop layer. Further, a dielectric layer is formed upon the etch stop layer that has the window. Then, a first contact hole through the dielectric layer and the window down to the backside semiconductor substrate is simultaneously etched with at least one second contact hole through the dielectric layer down to the device structure. The wafer may be a silicon-on-insulator (SOI) wafer, and the etch stop layer and the dielectric layer may be formed by depositing silicon oxynitride and tetraethyl orthosilicate (TEOS), respectively. The device structure may be a CMOS transistor structure.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: February 28, 2006
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Massud Aminpur, Gert Burbach, Christian Zistl
  • Patent number: 6998352
    Abstract: A cleaning solution having an oxidation-reduction potential lower than that of pure water and a pH value of 4 or below is used to remove metal contamination, thereby efficiently removing the metal contamination adhered onto a surface of a substrate without damaging an underlayer.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: February 14, 2006
    Assignee: NEC Electronics Corporation
    Inventors: Hidemitsu Aoki, Hiroaki Tomimori, Kenichi Yamamoto
  • Patent number: 6989331
    Abstract: A method of removing a hard mask layer from a patterned layer formed over an underlying layer, where the hard mask layer is removed using an etchant that detrimentally etches the underlying layer when the underlying layer is exposed to the etchant for a length of time typically required to remove the hard mask layer, without detrimentally etching the underlying layer. The hard mask layer is modified so that the hard mask layer is etched by the etchant at a substantially faster rate than that at which the etchant etches the underlying layer. The hard mask layer is patterned. The patterned layer is etched to expose portions of the underlying layer. Both the hard mask layer and the exposed portions of the underlying layer are etched with the etchant, where the etchant etches the hard mask layer at a substantially faster rate than that at which the etchant etches the underlying layer, because of the modification of the hard mask layer.
    Type: Grant
    Filed: July 8, 2003
    Date of Patent: January 24, 2006
    Assignee: LSI Logic Corporation
    Inventors: Venkatesh Gopinath, Arvind Kamath, Mohammad R. Mirabedini, Ming-Yi Lee, Brian A. Baylis
  • Patent number: 6987062
    Abstract: This invention offers a manufacturing method which does not cause a reduction in thickness of a silicon substrate or a carbon contamination in forming a transistor having an LDD stricture and silicide layers formed by a salicide technology. After a gate electrode is formed on the silicon substrate through a gate insulation film, an insulation film made of the same material as the gate insulation film is formed on the gate electrode. A first insulation film made of a material different from the material of the gate insulation film and the insulation film on the gate electrode and a second insulation film made of the same material as the material of the gate insulation film and the insulation film on the gate electrode are formed over the silicon substrate. Spacers made of the second insulation film are formed by dry-etching. Then the LDD structure and openings for forming the silicide layers are formed using wet-etching.
    Type: Grant
    Filed: October 28, 2004
    Date of Patent: January 17, 2006
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Katsuhiko Iizuka, Kazuo Okada
  • Patent number: 6979654
    Abstract: A low k dielectric layer is formed on a surface of a substrate of a semiconductor wafer. Then, a surface treatment is performed to the low k dielectric layer to form a passivation layer on a surface of the low k dielectric layer. A patterned photoresist layer is formed over the surface of the semiconductor wafer. The patterned photoresist layer is then used as a hard mask to perform an etching process on the low k dielectric layer. Finally, a stripping process is performed to remove the patterned photoresist layer. The passivation layer is used to prevent deterioration of the dielectric characteristic of the low k dielectric layer during the stripping process.
    Type: Grant
    Filed: July 3, 2001
    Date of Patent: December 27, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Ting-Chang Chang, Po-Tsun Liu, Yi-Shien Mor
  • Patent number: 6972253
    Abstract: A method for fabricating dielectric barrier layers in integrated circuit structures such as damascene structures is provided. In one embodiment, a low-k dielectric layer formed on a substrate is provided. The low-k dielectric layer has at least one opening exposing an underlying metal layer. A first silicon carbide barrier layer is formed to conformally cover the exposed surfaces of the opening. A portion of the first silicon carbide barrier layer above the low-k dielectric layer and over the bottom of the opening is converted with an oxidation treatment into a layer of silicon oxide. The silicon oxide layer is removed above the low-k dielectric layer and from the bottom of the opening. The opening is filled with a conductive layer in electrical contact with the underlying metal layer. The conductive layer is removed above the low-k dielectric layer to a predetermined depth below the low-k dielectric layer to define a recess therebelow.
    Type: Grant
    Filed: September 9, 2003
    Date of Patent: December 6, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ai-Sen Liu, Syun-Ming Jang
  • Patent number: 6972266
    Abstract: A process and intermediate DRAM structure formed by providing a substrate having an array of trenches containing trench capacitors underlying vertical transistors in an array area separated by isolation trenches residing in both array and support areas. A top oxide nitride (TON) liner is deposited over array and support areas so as to directly contact the fill in the isolation trenches. An array top oxide (ATO) is then deposited directly over the TON liner such that during subsequent processing, the TON protects the isolation trench oxide from any divot opening etches while maintaining the isolation trench oxide height fixed during the ATO process. In further processing the intermediate structure, ATO and TON are removed from the support area only, leaving remaining portions of both ATO and TON only in the array area, such that the TON liner separates the ATO from the isolation trench fill.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: December 6, 2005
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Ramachandra Divakaruni, Klaus M. Hummler
  • Patent number: 6969688
    Abstract: A wet etchant solution composition and method for etching oxides of hafnium and zirconium including at least one solvent present at greater than about 50 weight percent with respect to an arbitrary volume of the wet etchant solution; at least one chelating agent present at about 0.1 weight percent to about 10 weight percent with respect to an arbitrary volume of the wet etchant solution; and, at least one halogen containing acid present from about 0.0001 weight percent to about 10 weight percent with respect to an arbitrary volume of the wet etchant solution.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: November 29, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Baw-Ching Perng, Fang-Cheng Chen, Hun-Jan Tao, Peng-Fu Hsu, Yue-Ho Hsieh, Chih-Cheng Wang, Shih-Yi Hsiao
  • Patent number: 6967130
    Abstract: A method of forming dual gate insulator layers, each with a specific insulator thickness, featuring a HF type pre-clean procedure performed prior to formation of each of the gate insulator layers, has been developed. After a first HF type pre-clean procedure a silicon nitride layer is deposited on the native oxide free, semiconductor substrate followed by selective removal of silicon nitride layer from a second portion of the semiconductor substrate. After a second HF type pre-clean procedure a silicon dioxide gate insulator layer is formed on the second portion of the native oxide free, semiconductor substrate, with the silicon dioxide gate insulator layer comprised with a different thickness than the silicon nitride gate insulator layer, located on a first portion of the semiconductor substrate. The procedure used to form the silicon dioxide gate insulator layer also removes bulk traps in the silicon nitride gate insulator layer.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: November 22, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chi-Chun Chen, Tzu-Liang Lee, Shih-Chang Chen
  • Patent number: 6967141
    Abstract: A semiconductor integrated circuit device and a method of manufacturing the same. The surface of a substrate of an active region surrounded by an element isolation trench is horizontally flat in the center portion of the active region but falls toward the side wall of the element isolation trench in the shoulder portion of the active region. This inclined surface contains two inclined surfaces having different inclination angles. The first inclined surface near the center portion of the active region is relatively steep and the second inclined surface near the side wall of the element isolation trench is gentler than the first inclined surface. The surface of the substrate in the shoulder portion of the active region is wholly rounded and has no angular portion.
    Type: Grant
    Filed: August 17, 2004
    Date of Patent: November 22, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Kenji Kanamitsu, Kouzou Watanabe, Norio Suzuki, Norio Ishitsuka
  • Patent number: 6964926
    Abstract: A method of forming capacitors with geometric deep trenches. First, a substrate with a pad structure formed thereon is provided, and a first hard mask layer is formed on the pad structure. Next, a second hard mask layer is formed on the first hard mask layer. Next, a spacer layer is formed in the first opening on the first hard mask layer to expose a second opening. Next, a third hard mask layer is filled the second opening, and the spacer layer is removed. Next, the first hard mask layer is etched to expose a third opening with a salient of the first hard mask layer, with the second hard mask layer and the third hard mask layer acting as masks. Finally, the first hard mask layer, the pad structure, and the substrate are etched to form a geometric deep trench.
    Type: Grant
    Filed: December 4, 2003
    Date of Patent: November 15, 2005
    Assignee: Nanya Technology Corporation
    Inventors: Tse-Yao Huang, Yi-Nan Chen, Tzu-Ching Tsai
  • Patent number: 6964929
    Abstract: A method of making a semiconductor structure includes trimming a patterned hard mask with a wet etch, wherein the hard mask is on a gate layer; and etching the gate layer. In making multiple structures on a semiconductor wafer, an average width of lines in the patterned hard mask is trimmed by at least 100 ?.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: November 15, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Sundar Narayanan, Chidambaram Kallingal
  • Patent number: 6960529
    Abstract: Methods for protecting the sidewall of a metal interconnect component using Physical Vapor Deposition (PVD) processes and using a single barrier metal material. After forming the metal interconnect component, a single barrier metal is deposited on its sidewall using PVD. A subsequent anisotropic etching of the barrier metal removes the barrier metal from the horizontal surface except for some that still remains on the top surface of the metal interconnect layer. A dielectric layer is then formed over the metal interconnect component and the barrier metal. The unlanded via is etched through the dielectric layer to the metal interconnect component, and then filled with a second metal to thereby allow the metal interconnect component to electrically connect with one or more upper metal layers.
    Type: Grant
    Filed: February 24, 2003
    Date of Patent: November 1, 2005
    Assignee: AMI Semiconductor, Inc.
    Inventors: Mark M. Nelson, Brett N. Williams, Jagdish Prasad
  • Patent number: 6955995
    Abstract: The invention encompasses a semiconductor processing method of cleaning a surface of a copper-containing material by exposing the surface to an acidic mixture comprising Cl?, NO3? and F?. The invention also includes a semiconductor processing method of forming an opening to a copper-containing substrate. Initially, a mass is formed over the copper-containing substrate. The mass comprises at least one of a silicon nitride and a silicon oxide. An opening is etched through the mass and to the copper-containing substrate. A surface of the copper-containing substrate defines a base of the opening, and is referred to as a base surface. The base surface of the copper-containing substrate is at least partially covered by at least one of a copper oxide, a silicon oxide or a copper fluoride.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: October 18, 2005
    Assignee: Mircon Technology, Inc.
    Inventor: Paul A. Morgan
  • Patent number: 6951817
    Abstract: A method of forming an insulator between features of a semiconductor device. An insulating material such as high-density plasma (HDP) oxide is deposited over and between features formed on a semiconductor device. The height of the insulating material between the features is preferably less than the height of the features. A sputter process or other removal process is used to decrease the insulating material height of the features and decrease the insulating material height between the features. The insulating material is removed from over the top surface of the features, and a chemical-mechanical polish (CMP) process is used to lower the top surface of the features, stopping on the insulating material between the features.
    Type: Grant
    Filed: December 22, 2003
    Date of Patent: October 4, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shuang-Neng Peng, Sheng-Chen Wang
  • Patent number: 6949446
    Abstract: Provided is a technique for fabrication of STIs in a semiconductor device using implantation of damaging high-energy ions to insulating material overburden to generally and/or selectively increase insulation overburden removal rates. This technique avoids the use of chemical mechanical planarization (CMP) with a combination of implantation and, in some instances, low cost batch etching. The electrical characteristics of devices created with the new technique match closely to those fabricated with the standard CMP-based technique.
    Type: Grant
    Filed: June 9, 2003
    Date of Patent: September 27, 2005
    Assignee: LSI Logic Corporation
    Inventors: Arvind Kamath, Venkatesh P. Gopinth
  • Patent number: 6943052
    Abstract: A support substrate having the same size as a device substrate provided with alignment marks is disposed opposite to and adhered to the back side of the device substrate. At least the face side of the device substrate on the support substrate is cut at division lines along a functional region. An organic film is formed on the functional region of the device substrate thus cut. The support substrate is cut along the functional region of the device substrate, thereby removing peripheral portions of the support substrate and the device substrate, to form a display panel. Positioning of the substrate relative to a manufacturing apparatus for each step can be performed with high accuracy, in the manufacturing process including a step of cutting the substrate to a smaller size in the course of manufacture.
    Type: Grant
    Filed: December 1, 2003
    Date of Patent: September 13, 2005
    Assignee: Sony Corporation
    Inventors: Isao Kamiyama, Shoji Terada
  • Patent number: 6927171
    Abstract: This device, which is used to measure pressures or accelerations for example, comprises an isolation layer (32) that holds at least one piezoresistive gauge (29). The side tangents (T) of this gauge essentially make up over 90° angles with the surface (37) of the isolation layer. The device may be created using processes of wet isotropic etching, chemical anisotropic etching or isolation material growth processes.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: August 9, 2005
    Assignee: Commissariat a l'Energie Atomique
    Inventor: Jean-Sébastien Danel
  • Patent number: 6927176
    Abstract: The present invention is a novel cleaning method and a solution for use in a single wafer cleaning process. According to the present invention the cleaning solution comprises ammonium hydroxide (NH4OH), hydrogen peroxide (H2O2), water (H2O) and a chelating agent. In an embodiment of the present invention the cleaning solution also contains a surfactant. And still yet another embodiment of the present invention the cleaning solution also comprises a dissolved gas such as H2. In a particular embodiment of the present invention, this solution is used by spraying or dispensing it on a spinning wafer.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: August 9, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Steven Verhaverbeke, J. Kelly Truman
  • Patent number: 6914010
    Abstract: A plasma etching method is performed by plasma etching an SiN layer through a mask layer to form a first wiring portion and a second wiring portion, the first and the second wiring portions having different wiring densities in the etched SiN layer, the mask having two pattern portions respectively corresponding to the first and the second wiring portions. In the plasma etching step, by using an etching gas including fluorocarbon and C2H2F4, the line width variation between the first and the second wiring portions is restrained.
    Type: Grant
    Filed: December 29, 2003
    Date of Patent: July 5, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Jae Young Jeong, Takashi Fuse, Kiwami Fujimoto
  • Patent number: 6913993
    Abstract: A chemical-mechanical polishing process for forming a metallic interconnect includes the steps of providing a semiconductor substrate having a first metallic line thereon, and then forming a dielectric layer over the substrate and the first metallic line. Next, a chemical-mechanical polishing method is used to polish the surface of the dielectric layer. Thereafter, a thin cap layer is formed over the polished dielectric layer. The thin cap layer having a thickness of between 1000-3000 ? can be, for example, a silicon dioxide layer, a phosphosilicate glass layer or a silicon-rich oxide layer. The method of forming the cap layer includes depositing silicon oxide using a chemical vapor deposition method with silicane (SiH4) or tetra-ethyl-ortho-silicate (TEOS) as the main reactive agent. Alternatively, the cap layer can be formed by depositing silicon nitride using a chemical vapor deposition method with silicane or silicon dichlorohydride (SiH2Cl2) as the main reactive agent.
    Type: Grant
    Filed: November 20, 2001
    Date of Patent: July 5, 2005
    Assignee: United Microelectronics Corp.
    Inventors: Kun-Lin Wu, Meng-Jin Tsai
  • Patent number: 6911372
    Abstract: A storage capacitor has a double cylinder type structure, with a small cylinder in a lower part thereof and a cylindrical lower electrode structure disposed on the cylindrical contact plug. A method of fabricating the storage capacitor includes: forming a contact hole for exposing an activation region of a transistor; depositing a conductive film to form within the contact hole a contact plug of the storage capacitor having a void therein; opening an upper part of the void of the contact plug; and covering a surface of the device with material to form the storage capacitor electrode, to obtain the storage capacitor electrode having a double cylindrical structure.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Wook-Sung Son
  • Patent number: 6900104
    Abstract: A method for forming an offset spacer adjacent a CMOS gate structure with improved critical dimension control including providing a substrate that has a gate structure; forming at least one oxide layer over the substrate; forming at least one nitride layer over the at least one oxide layer; dry etching the at least one nitride layer in a first dry etching process to expose a first portion of the at least one oxide layer; carrying out a wet etching process to remove the first portion of the at least one oxide layer; and, dry etching the at least one nitride layer in a second dry etching process to remove the at least one nitride layer leaving a second portion of the at least one oxide layer to form an oxide offset spacer along sidewalls of the gate structure.
    Type: Grant
    Filed: February 27, 2004
    Date of Patent: May 31, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ryan Chia-Jen Chen, Fang-Cheng Chen, Yuan-Hung Chiu
  • Patent number: 6897112
    Abstract: A method for fabricating an integrated semiconductor configuration includes generating a polycrystalline layer at a surface of a base layer and doping the polycrystalline layer. An oxide layer is generated at the polycrystalline layer by rapid thermal oxidation so that the polycrystalline layer can be precisely structured. The method further includes structuring the main layer and performing the thermal oxidation at temperatures above 900° C. for less than 65 seconds. The method also includes carrying out the thermal oxidation as an initial processing step (after generating the main layer) at a temperature of at least substantially equal to the temperature for generating the main layer. A related semiconductor configuration and memory unit are also provided.
    Type: Grant
    Filed: October 1, 2002
    Date of Patent: May 24, 2005
    Assignee: Infineon Technologies AG
    Inventor: Markus Hammer
  • Patent number: 6890860
    Abstract: Prior to etching a poly-II layer during fabrication of an integrated circuit, a hydrofluoric acid (HF) dip is used to remove surface oxides from the poly-silicon layer and an anisotropic descumming operation is used to remove any resist material left over from a patterning operation. Following patterning, a long breakthrough etch (e.g., sufficient to remove 300-1500 ? of oxide) using an anisotropic breakthrough etchant (e.g., a fluorocarbon-based etchant) is performed before the poly-silicon layer is etched. The HF dip may be repeated if a predetermined time between the first dip and the etch is exceeded. The anisotropic descumming operation may be performed using an anisotropic anti-reflective coating (ARC) etch, e.g., a Cl2/O2, HBr/O2, CF4/O2 or another etch having an etch rate of approximately 3000 ?/min for approximately 10-20 seconds. The poly-silicon layer may be annealed following (but not prior to) the etch thereof.
    Type: Grant
    Filed: June 30, 1999
    Date of Patent: May 10, 2005
    Assignee: Cypress Semiconductor Corporation
    Inventors: Tinghao F. Wang, Usha Raghuram, James E. Nulty
  • Patent number: 6886238
    Abstract: A method of manufacturing a head for recording and reading optical data. The method includes: providing a silicon substrate on which a silicon oxide film and a silicon deposition layer are stacked; etching the bottom of the silicon substrate by a given depth to form an opening; forming an aperture having a given slant angle in the silicon deposition layer located on the opening; etching the portion of the silicon oxide film, exposed through the opening; forming a dielectric layer on the silicon deposition layer including the aperture; removing an exposed portion of the bottom of the silicon deposition layer by a given thickness to expose a portion of the dielectric layer, forming a probe on the exposed portion of the dielectric layer and the silicon deposition layer exposed through the opening; and burying the aperture with a non-linear material.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: May 3, 2005
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Ki Bong Song, Jeong Yong Kim, Kang Ho Park
  • Patent number: 6878646
    Abstract: A method of reducing the critical dimension (CD) of a hard mask by a wet etch method is described. An oxide hard mask is treated with a H2SO4/H2O2 (SPM) solution followed by treatment with a NH4OH/H2O2/H2O (APM) solution to trim the CD by 0 to 20 nm. With nitride or oxynitride hard masks, a buffered HF dip is inserted prior to the SPM treatment. For oxide hard masks, the SPM solution performs the etch while APM solution assists in removing plasma etch residues. With oxynitride hard masks, the APM performs the etch while BHF and SPM solutions remove plasma etch residues. The hard mask pattern can then be transferred with a dry etch into an underlying polysilicon layer to form a gate length of less than 150 nm while controlling the CD to within 3 to 5 nm of a targeted value.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: April 12, 2005
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chao-Tzung Tsai, Jia-Sheng Wu, Fuxuan Fang
  • Patent number: 6878612
    Abstract: A semiconductor device manufacturing method that assures required size of flat areas at a wiring overlay nitride film, and forms an SAC structure wherein selectivity is not lowered at corners. A first etching process wherein an insulating film is etched under conditions for forming a vertical opening (vertical conditions) is used to open up the insulating film to a point near the wiring overlay nitride film 105. A second etching process is used wherein the insulating film is opened until the wiring overlay nitride film becomes exposed, by etching under conditions assuring a high ratio of selectivity relative to the wiring overlay nitride film (SAC conditions). Then, a third etching process is used wherein the insulating film located between first and second electrodes is removed by etching under conditions with a low ratio of selectivity relative to the wiring overlay nitride film (SAC conditions).
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: April 12, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Takeshi Nagao, Atsushi Yabata
  • Patent number: 6869884
    Abstract: A first method of reducing semiconductor device substrate effects comprising the following steps. O+ or O2+ are selectively implanted into a silicon substrate to form a silicon-damaged silicon oxide region. One or more devices are formed over the silicon substrate proximate the silicon-damaged silicon oxide region within at least one upper dielectric layer. A passivation layer is formed over the at least one upper dielectric layer. The passivation layer and the at least one upper dielectric layer are patterned to form a trench exposing a portion of the silicon substrate over the silicon-damaged silicon oxide region. The silicon-damaged silicon oxide region is selectively etched to form a channel continuous and contiguous with the trench whereby the channel reduces the substrate effects of the one or more semiconductor devices.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: March 22, 2005
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Lap Chan, Sanford Chu, Chit Hwei Ng, Purakh Verma, Jia Zhen Zheng, Johnny Chew, Choon Beng Sia
  • Patent number: 6864187
    Abstract: A nozzle which ejects cleaning solution is disposed above the wafer to be cleaned. The position of the nozzle is such that the cleaning solution ejected from the nozzle drops onto a point on the wafer which is 1 cm or more on this side of the center of rotation. An angle which the direction of ejection of the cleaning solution makes with the wafer surface is set preferably at 5° to 45°.
    Type: Grant
    Filed: July 11, 2002
    Date of Patent: March 8, 2005
    Assignee: NEC Electronics Corporation
    Inventors: Hiroaki Tomimori, Hidemitsu Aoki
  • Patent number: 6858538
    Abstract: Methods and devices for mechanical and/or chemical-mechanical polarization of semiconductor wafers, field emission displays and other microelectronic substrate assemblies. One method of plagiarizing a micro electronic substrate assembly in accordance with the invention includes pressing a substrate assembly against a plagiarizing surface of a polishing pad at a pad/substrate interface defined by a surface area of the substrate assembly contacting the plagiarizing surface. The method continues by moving the substrate assembly and/or the polishing pad with respect to the other to rub at least one of the substrate assembly and the plagiarizing surface against the other at a relative velocity. As the substrate assembly and polishing pad rub against each other, a parameter indicative of drag force between the substrate assembly and the polishing pad is measured or sensed at periodic intervals.
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: February 22, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Jim Hofmann, Gundu M. Sabde, Stephen J. Kramer, Scott E. Moore
  • Patent number: 6852599
    Abstract: A method for fabricating a metal oxide semiconductor (MOS) transistor, which can reduce the junction capacitance without degradation of transistor characteristics including forming a buffer oxide layer on a semiconductor substrate; successively conducting ion implantations for well formation and field stop formation in the substrate through the buffer oxide layer; removing the buffer oxide layer; forming and patterning a sacrificial layer to form a trench successively conducting ion implantations for threshold voltage adjustment and punch stop formation on the semiconductor substrate area exposed by the trench; forming a gate oxide layer on the exposed surface of the substrate; forming a polysilicon layer so as to completely fill the trench; polishing the polysilicon layer to form a gate electrode; removing the sacrificial layer; forming an LDD region in the substrate; forming spacers on side walls of the gate electrode; and forming source/drain regions.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: February 8, 2005
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Tae W Kim
  • Patent number: 6849513
    Abstract: The present invention provides a MOS semiconductor device which enables gate leakage current reduction with a thinner gate dielectric film for higher speed, and a production method thereof. According to the present invention, a gate dielectric film 6 is made as follows: after forming a silicon nitride film 3 with a specified thickness, it is annealed in an oxidizing atmosphere to form silicon oxide 4 on the silicon nitride film 3, then this silicon oxide 4 is completely removed by exposure to a dissolving liquid. As a result, at depths between 0.12 nm and 0.5 nm from the top surface of the silicon nitride film 3 in the gate dielectric film 6 whose main constituent elements are silicon, nitrogen and oxygen, the nitrogen concentration is higher than the oxygen concentration. This enables the use of a thinner gate dielectric film with silicon, nitrogen and oxygen as main constituent elements while at the same time realizing reduction in leakage currents.
    Type: Grant
    Filed: October 14, 2003
    Date of Patent: February 1, 2005
    Assignee: Renesas Technology Corp.
    Inventors: Shimpei Tsujikawa, Jiro Yugami, Toshiyuki Mine, Masahiro Ushiyama