Silicon Oxide Patents (Class 438/756)
  • Patent number: 6478975
    Abstract: In the method of fabricating an inductor, at least first and second conductive segments are formed in a semiconductor layer spaced apart in a first direction. A first dielectric layer is formed over a portion of the semiconductor layer along the first direction such that the first dielectric layer crosses the first and second conductive segments. A conductive core is formed on the first dielectric layer, and a second dielectric layer is formed over the semiconductor layer. First and second contact holes are formed in the second dielectric layer such that the first contact hole exposes a portion of the first conductive segment on a first side of the first dielectric layer and the second contact hole exposes a portion of the second conductive segment on a second side of the first dielectric layer.
    Type: Grant
    Filed: August 23, 2000
    Date of Patent: November 12, 2002
    Assignee: Hynix Semiconductor Inc.
    Inventor: Jae-il Ju
  • Publication number: 20020162571
    Abstract: The present invention provides a planar clean method applicable to shallow trench isolation (STI) for cleaning a substrate having a STI region formed thereon and a high density plasma (HDP) oxide on the surface of the STI region. A buffer oxide etch cleaning solution is exploited and matched by a planar clean way to let the oxide losses of the surface of the silicon substrate and the STI corners match the height and shape of the HDP oxide in the STI region. Thereby, the phenomenon of wrap rounding at the STI corners, which influences growth of the next thermal oxide, can be avoided. The present invention can prevent the STI corners from generating parasitic device characteristics and enhance electric characteristics of the device.
    Type: Application
    Filed: May 2, 2001
    Publication date: November 7, 2002
    Inventors: Chun Lien Su, Chun Chi Wang, Gen Da You
  • Patent number: 6475403
    Abstract: A subcritical or supercritical water is used to selectively etch a silicon nitride film against a silicon dioxide film or to selectively etch a silicon dioxide film against a crystalline silicon region. This method is applicable to a process of forming a MISFET or a charge emitting device.
    Type: Grant
    Filed: January 30, 2001
    Date of Patent: November 5, 2002
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Kiyoyuki Morita
  • Publication number: 20020160617
    Abstract: A method of etching a dielectric layer employs steps of: providing a silicon substrate with a surface covered by the dielectric layer; polymer-rich plasma etching to remove part of the dielectric layer and form a polymer film on the exposed regions of the dielectric layer and the silicon substrate; performing an oxygen plasma treatment on the polymer film; and wet etching to completely remove the polymer film.
    Type: Application
    Filed: September 7, 2001
    Publication date: October 31, 2002
    Inventors: Yun Hsiu Chen, Hsin Yi Chang, Yu Ling Huang
  • Patent number: 6472271
    Abstract: The present invention discloses a planarization method of memory unit of a flash memory, wherein a patterned polysilicon layer and a silicon nitride layer are formed in turn on a semiconductor substrate. A silicon dioxide layer is then deposited by the HDPCVD technique. Next, a silicon nitride layer is deposited. Finally, the silicon nitride layer and the silicon dioxide layer thereon are simultaneously removed using hot phosphoric acid. Because the CMP technique is not used in the present invention, the problem of micro scratches will not arise. Therefore, the present invention can assure the requirement of high planarity of memory unit of the flash memory, simplify the process flow, increase the tolerance of the etching mask, and effectively enhance the function of memory unit.
    Type: Grant
    Filed: May 24, 2001
    Date of Patent: October 29, 2002
    Assignee: Macronix International Co., Ltd.
    Inventor: Pei-Ren Jeng
  • Patent number: 6472331
    Abstract: A tank is set up to hold a precise volume of acid by first adjusting an overflow pipe to establish a volume that is larger than the desired volume and then adjusting the vertical position of a volume occupying element that extends above and below the surface of the acid. The apparatus includes a drain pipe for directing the acid to a tank that holds deionized water that the acid is mixed with. The bath is used for etching a silicon dioxide layer on a semiconductor wafer.
    Type: Grant
    Filed: September 9, 1999
    Date of Patent: October 29, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventor: Kam Beng Chong
  • Patent number: 6472333
    Abstract: A method of forming a low dielectric constant silicate material for use in integrated circuit fabrication processes is disclosed. The low dielectric constant silicate material is formed by reacting by reacting a gas mixture comprising an organosilane compound, an oxygen source, and an inert gas. Thereafter, a silicon carbide cap layer is formed on the silicate material by reacting a gas mixture comprising a silicon source and a carbon source. The silicon carbide cap layer protects the underlying organosilicate layer from cracking and peeling when it is hardened during a subsequent annealing step.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: October 29, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Li-Qun Xia, Paul Fisher, Margaret Lynn Gotuaco, Frederic Gaillard, Ellie Yieh
  • Patent number: 6472332
    Abstract: Structures for use in conjunction with surface micromachined structures are formed using a two-step etching process. In various exemplary embodiments, the two-step etching process comprises a modified Bosch etch. According to various exemplary embodiments of the two-step etch, first mask and second masks are used to prepare a layer for etching one or more desired structures. The first mask is used to define at least one large feature. The second mask is used to define at least one small feature (small as compared to the at least one large feature). The second mask is formed over the first mask which is formed over the layer. In the first etching step, the at least one small feature is etched into the layer. Then, the second mask is removed using the chemical rinsing agent. In the second etching step, the at least one large feature is etched into the layer such that the at least one small feature propagates further into the layer ahead of the at least one large feature. The first mask is then removed.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: October 29, 2002
    Assignee: Xerox Corporation
    Inventors: Arthur M. Gooray, George J. Roller, Joseph M. Crowley, Paul C. Galambos, Frank J. Peter, Kevin R. Zavadil, Richard C. Givler, Randy J. Shul, Christi Willison Gober
  • Patent number: 6468910
    Abstract: A new slurry for shallow trench isolation (STI) processing in the chemical mechanical planarization (CMP) in microelectronic industry comprising an aqueous medium having an abrasive; and a compound which has a carboxylic group and an electrophilic functional group. The combination of ceria and/or titania with amino acids to obtain polishing selectivity's greater than 5:1. CMP is used for removing the excess oxide and planarizing the substrate and the trench. The silicon nitride acts as a stop layer, preventing the polishing of underlying silicon substrate.
    Type: Grant
    Filed: December 8, 1999
    Date of Patent: October 22, 2002
    Inventors: Ramanathan Srinivasan, Suryadevara V. Babu, William G. America, Yie-Shein Her
  • Patent number: 6468904
    Abstract: A method for forming an improved RPO layer by using a composite layer and a two-step etching process in a salicide process in the fabrication of integrated circuits is described. Isolation areas are formed on a semiconductor substrate surrounding and electrically isolating device areas wherein at least one device area is to be silicided and wherein at least one device area is not to be silicided. A composite resist protective oxide layer is formed overlying device areas comprising a first layer of oxide and a second layer of silicon oxynitride. The silicon oxynitride layer is dry etched away overlying the device area to be silicided. Thereafter, the oxide layer is wet etched away overlying the device area to be silicided. Silicidation is performed to complete fabrication of the integrated circuit device.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: October 22, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Dian-Hau Chen, Fu-Mei Chiu, Lin-June Wu
  • Patent number: 6458710
    Abstract: A process for defining uniform contact hole openings in an insulator layer, and in a top portion of a conductive layer, has been developed. The process features a series of isotropic and anisotropic, dry etch procedures, used to define an initial contact hole opening in the insulator layer, and in the top portion of the conductive region. The isotropic dry etch procedure results in a tapered contact hole profile for top portion of the initial contact hole opening, while subsequent anisotropic dry etch procedures create a straight walled contact hole profile for the bottom portion of the initial contact hole opening. After removal of the contact hole defining, photoresist shape, a wet etch procedure is used to laterally recess-the insulator layer exposed in the initial contact hole opening creating the final, uniform contact hole opening.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: October 1, 2002
    Assignee: ESM Limited
    Inventor: Hugo Robert Gerard Burke
  • Patent number: 6458705
    Abstract: In accordance with the present invention, a method for forming a via-first dual damascene interconnect structure by using gap-filling material whose thickness is easily controlled by a developer is provided. The essential part of the present invention is the application of gap-filling materials such as novolak, PHS, acrylate, methacrylate, and COMA to fill vias. Filling vias with these materials can get a greater planar topography for trench patterning due to its excellent gap-filling capacity, protect the bottom of vias from damage during the trench etch, and prevent the fence problem by using a developer to control its thickness in vias.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: October 1, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Kuei-Chun Hung, Vencent Chang, I-Hsiung Huang, Ya-Hui Chang
  • Publication number: 20020132451
    Abstract: A method of manufacturing a semiconductor substrate can effectively prevent a chipping phenomenon and the production of debris from occurring in part of the insulation layer and the semiconductor by removing a outer peripheral portion of the semiconductor substrate so as to make the outer peripheral extremity of the insulation layer to be located between the outer peripheral extremity of the semiconductor layer and that of the support member and hence the semiconductor layer and the insulation layer produce a stepped profile.
    Type: Application
    Filed: May 13, 2002
    Publication date: September 19, 2002
    Inventors: Yutaka Akino, Tadashi Atoji
  • Patent number: 6436834
    Abstract: The invention provides a chemical-mechanical abrasive composition for use in semiconductor processing, which comprises an aqueous medium, an abrasive, and an abrasion accelerator. The abrasion accelerator mainly functions to enhance the removal rate of the substances to be removed, and selected from the compounds of the following formula, the acid-addition salts thereof, or mixtures of two or more of the foregoing compounds and salts: wherein X and Y are independently lone-pair electrons containing atoms or atomic groups; and R1 and R2 are independently H, alky, amino, aminoalkyl, or alkoxy. The chemical-mechanical abrasive composition of the invention may optionally comprise an acidic component and/or a salt thereof, so as to further enhance the abrasion rate. The invention further provides a method of using the above chemical-mechanical abrasive composition for polishing the surface of a semiconductor wafer.
    Type: Grant
    Filed: July 7, 2000
    Date of Patent: August 20, 2002
    Assignee: Eternal Chemical Co., Ltd.
    Inventors: Tsung-Ho Lee, Kang-Hua Lee, Tsui-Ping Yeh
  • Patent number: 6436809
    Abstract: A method of manufacturing semiconductor devices is provided for forming a tungsten plug or polysilicon plug and minimizing the step-height of the intermediate insulating layer. An etching composition for this process is also provided as are semiconductor devices manufactured by this process. The method of manufacturing semiconductor devices includes the steps of forming a tungsten film having a certain thickness on an insulating layer and burying contact holes formed in the insulating layer constituting a specific semiconductor structure, and spin-etching the tungsten film using a certain etching composition such that the tungsten film is present only inside the contact holes not existing on the insulating film.
    Type: Grant
    Filed: August 25, 2000
    Date of Patent: August 20, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gyu-hwan Kwag, Se-jong Ko, Kyung-seuk Hwang, Jun-ing Gil, Sang-o Park, Dae-hoon Kim, Sang-moon Chon, Ho-Kyoon Chung
  • Patent number: 6436807
    Abstract: A method for making a layout for an interconnect layer of a semiconductor device to facilitate uniformity of planarization during manufacture of the semiconductor device includes determining an active interconnect feature density for each of a plurality of layout regions of the interconnect layout. The method further includes adding dummy fill features to each layout region to obtain a desire density of active interconnect features and dummy fill features to facilitate uniformity of planarization during manufacturing of the semiconductor device. By adding dummy fill features to obtain a desired density of active interconnect features and dummy fill features, dummy fill features are not unnecessarily added, and each layout region has a uniform density.
    Type: Grant
    Filed: January 18, 2000
    Date of Patent: August 20, 2002
    Assignee: Agere Systems Guardian Corp.
    Inventors: Donald Thomas Cwynar, Sudhanshu Misra, Dennis Okumu Ouma, Vivek Saxena, John Michael Sharpe
  • Patent number: 6436791
    Abstract: A method of forming a shallow trench isolation structure comprising the following steps. A substrate having an upper surface is provided. A pad oxide layer is formed upon the substrate. A nitride layer is formed over the pad oxide layer. The nitride layer having an upper surface. A trench is formed by etching the nitride layer, pad oxide layer and a portion of the substrate. The trench having a bottom and side walls. An oxide film is deposited upon the etched nitride layer surface, and the bottom and side walls of trench. The oxide film is removed from over the etched nitride layer surface, and the bottom of the trench to expose a portion of substrate within the trench. The removal of oxide film leaving oxide spacers over the trench side walls. Epitaxial silicon is selectively deposited over the exposed portion of substrate, filling the trench. A thermal oxide layer is formed over the epitaxial silicon, annealing the interface between the epitaxial silicon and the oxide spacers.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: August 20, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-Chi Lin, Szu-An Wu, Ying-Lang Wang, Guey-Bao Huang
  • Patent number: 6436833
    Abstract: A method of forming shallow trench isolations is described. An etch stop layer is deposited on the surface of a semiconductor substrate. A plurality of isolation trenches are etched through the etch stop layer into the semiconductor substrate to separate active areas. An oxide layer is deposited over the etch stop layer and within the isolation trenches wherein the oxide fills the isolation trenches and overlies the etch stop layer on the active areas. A polysilicon layer is deposited overlying the oxide layer within the isolation trenches and the oxide layer overlying the etch stop layer. The polysilicon layer is polished away until the oxide layer overlying the etch stop layer is exposed and the polysilicon layer remains only overlying the oxide layer in the isolation trenches. The polysilicon layer is oxidized whereby the oxidized polysilicon layer has a height close to the height of the oxide layer overlying the etch stop layer.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: August 20, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Chong Hau Pang, Chen Feng, Alex See, Peter Hing
  • Patent number: 6432836
    Abstract: The present invention relates to a cleaning solution which can reliably remove the platinum group metal (e.g. Pt or Ir) contaminants adhering on the silicon-based insulating film (e.g. silicon oxide film) formed on a semiconductor substrate and further can prevent the readhesion of the removed contaminants, as well as to a cleaning method using said cleaning solution. Since the cleaning solution consists of HPFM or SPFM which is a mixture of a hydrochloric acid-hydrogen peroxide (HPM) or sulfuric acid-hydrogen peroxide (SPM) solution with a very small amount of hydrofluoric acid, the contaminants adhering on the silicon-based insulating film can be reduced to a level lower than 1×1010 atoms/cm2.
    Type: Grant
    Filed: September 10, 1999
    Date of Patent: August 13, 2002
    Assignee: NEC Corporation
    Inventor: Kaori Watanabe
  • Patent number: 6429144
    Abstract: In the manufacture of an integrated circuit, contaminated oxide is replaced by relatively pure oxide using the following steps. First, a partially manufactured integrated circuit is bathed in an aqueous solution of hydrogen peroxide and ammonium hydroxide to oxidize organic materials and weaken bonds of metal contaminants to the integrated circuit substrate. Second, an aqueous rinse removes the oxidized organic materials and metal contaminants. Third, the integrated circuit is bathed in an aqueous solution of hydrogen fluoride and nitric acid. The hydrogen fluroide etches the contaminated oxide; the nitric acid combines with calcium and metal contaminants freed as the oxide is etched. The resulting nitride byproducts are highly soluble and easily removed in the following aqueous rinse. A drying step removes rinse water from the integrated circuit. Finally, an oxide formation step provides a relatively pure oxide layer.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: August 6, 2002
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Landon B. Vines, Felix H. Fujishiro, Yu-Pin Han
  • Patent number: 6423618
    Abstract: A method for manufacturing a trench gate structure of a power metal-oxide-semiconductor field-effect transistor. A substrate is provided, which substrate has a epitaxial layer thereon, a base region formed in the epitaxial layer, a source region formed in a portion of the base region, a first dielectric layer on the base region and the source region, a second dielectric layer on the first dielectric layer and a trench penetrating through the second and the first dielectric layers, the source region and the base region and into the epitaxial layer. A third dielectric layer is formed on the bottom of the trench. A conformal gate oxide layer is formed in the trench. A conformal polysilicon layer is formed on the second dielectric layer and in the trench. A fourth dielectric layer is formed on the polysilicon layer to fill the trench.
    Type: Grant
    Filed: December 15, 1999
    Date of Patent: July 23, 2002
    Assignee: Analog and Power Electronics Corp.
    Inventors: Ming-Jang Lin, Chorng-Wei Liaw, Tian-Fure Shiue, Ching-Hsiang Hsu, Huang-Chung Cheng
  • Patent number: 6423600
    Abstract: A method for manufacturing a transistor device that includes forming an oxide layer over a substrate, forming a gate structure over the oxide layer, depositing a silicon nitride layer over the oxide layer and the gate structure, anisotropic etching the silicon nitride layer to remove portions of the silicon nitride layer, wherein remaining portions of the silicon nitride layer form nitride spacers contiguous with the gate structure, and a portion of the oxide layer beneath the removed portions of the silicon nitride layer is also removed, cleaning oxide layer, applying a diluted hydrogen fluoride solution to the oxide layer to form a substantially uniform thickness of the oxide layer, and implanting ions through the oxide layer having a substantially uniform thickness to form source and drain regions of the transistor device.
    Type: Grant
    Filed: September 8, 2000
    Date of Patent: July 23, 2002
    Assignee: ProMos Technologies, Inc.
    Inventors: Chao-chueh Wu, Chuchun Hu
  • Patent number: 6423641
    Abstract: The present invention provides a method of making self-aligned bit-lines on a semiconductor wafer. The semiconductor wafer comprises a silicon substrate, a plurality of word-lines located on the silicon substrate and a first dielectric layer that covers each word-line. A plurality of bit-line contacts are formed that are level with the surface of the first dielectric layer. A second dielectric layer is formed on the surface of the semiconductor wafer and a plurality of node contacts are formed in the second and first dielectric layer, which are leveled with the surface of the second dielectric layer. Portions of the second dielectric layer are removed to make the top portion of each node contact higher than the surface of the second dielectric layer. A spacer is formed around this top portion of each node contact.
    Type: Grant
    Filed: September 18, 2000
    Date of Patent: July 23, 2002
    Assignee: United Microelectronics Corp.
    Inventor: Jing-Horng Gau
  • Patent number: 6420275
    Abstract: A method and apparatus for analyzing a semiconductor surface obtains a sample from a localized section of a wafer. The sample is obtained by isolating a section of a wafer with a sampling apparatus, dispensing liquid onto the isolated section of the wafer, dissolving compounds of interest in the liquid, removing a portion of the liquid, and analyzing the liquid and dissolved compounds of interest. The liquid can be an etching solution, an organic solvent, or other suitable solvent. Samples and analyses can, thus, be obtained as a function of position on the wafer. Analyses as a function of depth can also be determined by sampling and analyzing an isolated portion of the wafer as a function of time.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: July 16, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Terry L. Gilton, Troy R. Sorensen
  • Publication number: 20020090784
    Abstract: Disclosed is a method for manufacturing a semiconductor device. A polysilicon layer is deposited on an oxide layer having a contact hole or an opening. The polysilicon layer is etched-back such that the polysilicon layer remains only in the contact hole or in the opening. A cleaning process is performed using a first etchant having a similar etching rate with respect to polysilicon and oxide, thereby removing a damaged layer which is created on a surface of the oxide layer when the etch back process is carried out with respect to the polysilicon layer. An insulating layer is deposited on the resulting structure. After the etch-back process is carried out, a cleaning process using SC-1 solution is performed so as to remove the damaged layer formed on a surface of a lower insulating layer. A polysilicon based plate-shaped defect can be prevented and a lateral undercut of an upper insulating layer can be reduced when a subsequent HF cleaning process is carried out.
    Type: Application
    Filed: December 13, 2001
    Publication date: July 11, 2002
    Applicant: Samsung Electronics Co., Ltd.
    Inventor: Byoung-Moon Yoon
  • Patent number: 6406998
    Abstract: Disclosed is a method using the implantation of ionized titanium for the formation of an electrical contact having a metal silicide diffusion barrier. The electrical contact is created by the steps of etching a contact opening over an active region on an in-process integrated circuit wafer, implanting metal ions into the contact opening, and annealing the contact opening to form a titanium silicide layer at the bottom of the contact opening adjacent to the underlying active region. In a further step, a titanium nitride layer is formed on the surface of the contact opening above the metal silicide layer, and the remainder of the contact opening is then filled by depositing tungsten into the contact opening. The method is especially useful for forming contacts having a high aspect ratio and for forming self-aligned contacts as it is capable of forming a uniform silicide layer at the bottom of a narrow contact opening.
    Type: Grant
    Filed: February 5, 1996
    Date of Patent: June 18, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Kirk D. Prall, Gurtej S. Sandhu
  • Patent number: 6407005
    Abstract: A method for fabricating a field oxide layer capable of being applied to highly integrated circuits. The semiconductor device according to the present invention prevents electric field concentration at the corners of the active region, by filling a recess generated in a field oxide layer with an additional oxide spacer. The method includes the steps of a) forming a trench in a semiconductor substrate; b) forming an insulating layer on the resulting structure and burying the trench; c) forming a field oxide layer by controlling topology of the insulating layer in a wet etching process, wherein the wet etching process forms a recess at a corner of the field oxide layer so that a portion of sidewalls of the active region is exposed; d) forming an additional field oxide spacer layer at the recess in order to bury the exposed sidewall portion of the active region; and e) vertically growing an epitaxial layer on the exposed active region.
    Type: Grant
    Filed: December 26, 2000
    Date of Patent: June 18, 2002
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Dae-Hee Weon
  • Patent number: 6406641
    Abstract: A semiconductor process endpoint detection system uses a relatively wide wavelength range of light to reflect off a semiconductor wafer being processed. Relatively narrow wavelength ranges can be monitored within this wide reflected wavelength range in order to produce an endpoint of the process. An indication can be produced which is a function of detected light intensities at multiple wavelength ranges. These indications aid in the determination of an endpoint of a process.
    Type: Grant
    Filed: June 17, 1997
    Date of Patent: June 18, 2002
    Assignee: Luxtron Corporation
    Inventor: Reza Golzarian
  • Patent number: 6403484
    Abstract: A method of forming shallow trench isolations is described. A plurality of isolation trenches are etched through a first etch stop layer into the underlying semiconductor substrate. An oxide layer is deposited over the first etch stop layer and within the isolation trenches using a high density plasma chemical vapor deposition process (HDP-CVD) wherein after the oxide layer fills the isolation trenches, the deposition component is discontinued while continuing the sputtering component until corners of the first etch stop layer are exposed at edges of the isolation trenches whereby the oxide layer within the isolation trenches is disconnected from the oxide layer overlying the first etch stop layer. Thereafter, a second etch stop layer is deposited overlying the oxide layer within the isolation trenches, the oxide layer overlying the first etch stop layer, and the exposed first etch stop layer corners.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: June 11, 2002
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Victor Seng Keong Lim, Lap Chan, James Lee, Chen Feng, Wang Ling Goh
  • Patent number: 6403492
    Abstract: A method of trench isolation includes removal of insulation material after planarization of the insulation material and before stripping of a nitride layer such as polish stop layer. The removal of insulation material may be accomplished, for example, by etching. The amount of material removed may be selected so that a surface of the device is substantially planar after one or more subsequent processing steps.
    Type: Grant
    Filed: February 2, 2001
    Date of Patent: June 11, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthew S. Buynoski, Darin A. Chan
  • Patent number: 6403496
    Abstract: A method for forming shallow trench isolations includes the steps of defining a wafer substrate, forming a silicon dioxide insulating layer on the substrate, depositing a silicon nitride layer on the silicon dioxide insulating layer, and forming at least one trench in the substrate through the silicon dioxide and silicon nitride layers. The method also includes the steps of depositing a silicon dioxide layer over the silicon nitride layer and in the trench, removing the silicon dioxide layer deposited over the silicon nitride layer, anisotropically etching the silicon dioxide layer to produce silicon dioxide sidewalls in the trench contiguous with the silicon nitride layer, isotropically etching to remove the sidewalls and removing the silicon nitride layer.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: June 11, 2002
    Assignee: Windbond Electronics Corporation
    Inventor: Yu-Chung Tien
  • Patent number: 6399504
    Abstract: A surface having exposed doped silicon dioxide such as BPSG is cleaned with a solution that etches thermal oxide at least one-third as fast as it etches the exposed doped silicon dioxide, resulting in more thorough cleaning with less removal of the exposed doped silicon dioxide. Specific applications to formation of container capacitors are disclosed. Preferred cleaning solutions include about 46 parts ammonium fluoride, about 9.5 parts hydrogen fluoride, and about 8.5 parts ammonium hydroxide in about 100 parts water by weight; and about 670 parts ammonium fluoride and about 3 parts hydrogen fluoride in about 1000 parts water by weight. The latter solution is also useful in cleaning methods in which a refractory metal silicide is exposed to the cleaning solution such as in cleaning prior to spacer formation or prior to a gate stack contact fill, in which case about 670 parts ammonium fluoride and about 1.6 parts hydrogen fluoride in about 1000 parts water is most preferred.
    Type: Grant
    Filed: November 23, 1999
    Date of Patent: June 4, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Richard C. Hawthorne, Li Li, Pai Hung Pan
  • Patent number: 6395638
    Abstract: A method of producing a pump body having an inlet opening provided with an inlet valve (106) and an outlet opening provided with an outlet valve (108), said method comprising as a first step the step of structuring a respective first main surface of a first and of a second semiconductor disc (200) for defining a valve flap structure (202) of the inlet valve and a valve seat structure (204) of the outlet valve in the first disc and a valve flap structure of the outlet valve and a valve seat structure of the inlet valve in the second disc. Following this, a valve flap well structure (206; 216) and a valve opening well structure (208; 218) are formed in a predetermined relationship with the valve flap structures and the valve seat structures in a respective second main surface of the first and of the second semiconductor disc.
    Type: Grant
    Filed: September 15, 1999
    Date of Patent: May 28, 2002
    Assignee: Fraunhofer-Gesellschaft zur Forderung der Angewandten Forschung E.V.
    Inventors: Reinhard Linnemann, Martin Richter, Stefan Kluge, Peter Woias
  • Patent number: 6395634
    Abstract: In a method of manufacturing a glass substrate for a magnetic recording medium for forming a predetermined roughness, a principal surface of the glass substrate is precisely polished by the use of polishing material containing free abrasive grain. Remaining stress distribution for a portion of a polishing trace due to the free abrasive grain is generated on the surface of the glass substrate. A surface process is performed for at least the principal surface of the glass substrate by the use of hydrosilicofluoric acid. A portion having relatively high remaining distortion in the generated remaining stress distribution is decided as an island portion. The glass substrate is heated after precisely polishing before performing the surface process by the use of the hydrosilicofluoric acid.
    Type: Grant
    Filed: March 31, 2000
    Date of Patent: May 28, 2002
    Assignee: Hoya Corporation
    Inventor: Takemi Miyamoto
  • Patent number: 6391780
    Abstract: A process for manufacturing damascene wiring in integrated circuits is described. Trenches in the top most layer are first over-filled with a soft metal (such as copper) and then a relatively thin layer of a hard material such as tantalum, tantalum nitride, titanium, titanium nitride etc is deposited on the copper surface Under a first set of control conditions CMP is then applied for just long enough to selectively remove this hard material layer from peaks in the copper surface while leaving it intact in the valleys. The control conditions for CMP are then adjusted so that CMP can proceed with material at the peaks being removed at a significantly faster rate than in the valleys. Thus, when the point is reached that all copper outside the trenches has been removed, the trenches are found to be just filled with a flat layer that has no dishing.
    Type: Grant
    Filed: August 23, 1999
    Date of Patent: May 21, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Tsu Shih, Ying-Ho Chen, Jih-Churng Twu
  • Patent number: 6391793
    Abstract: A silicon etching method includes providing a substrate assembly including an exposed silicon region and an exposed oxide region. An etch composition including an ammonium fluoride component, an inorganic acid component, and an oxidizing agent is also provided. The etch composition has a pH in the range of about 7.0 to about 8.0. The substrate assembly is exposed to the etch composition. Exposing the substrate assembly to the etch composition may result in etching the exposed silicon region at an etching rate that is greater than about 3 times the etching rate of the exposed oxide region and/or etching the silicon region at an etch rate greater than about 9 Å/minute. The etching method may be used in forming isolation structures. Further, etch compositions for performing the desired etch are provided.
    Type: Grant
    Filed: August 30, 1999
    Date of Patent: May 21, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Pai Pan, Terry Gilton
  • Patent number: 6387813
    Abstract: A method for stripping a low dielectric film with a high carbon content from silicon monitor chip. The silicon monitor chip is placed inside a plasma-enhanced chemical vapor deposition chamber and the surface is treated with oxygen plasma to form a silicon-rich oxide layer. A high-carbon-content low dielectric film is formed over the silicon-rich oxide for film quality inspection. After the film inspection, the silicon monitor chip is immersed in a solution containing ammonium hydroxide and hydrogen peroxide so that the surface of the high-carbon-content dielectric film is transformed from hydrophobic to hydrophilic. Hence, wetting capacity of subsequently applied hydrofluoric acid solution is enhanced. Finally, the silicon monitor chip is immersed in a hydrofluoric acid solution for stripping away the low dielectric film.
    Type: Grant
    Filed: November 22, 2000
    Date of Patent: May 14, 2002
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Hui Yang, Ming-Sheng Yang, Chih-Chien Liu
  • Patent number: 6383410
    Abstract: The formulations of the present invention etch doped silicon oxide compounds, such as BPSG and PSG layers, at rates greater than or equal to the etch rate of undoped silicon oxide such as thermal oxide. The formulations have the general composition of a chelating agent, preferably weakly to moderately acidic (0.1-10%; preferably 0.2-2.8%); a fluoride salt, which may be ammonium fluoride or an organic derivative of either ammonium fluoride or a polyammonium fluoride (1.65-7%; preferably 2.25-7%); a glycol solvent (71-98%; preferably 90-98%); and optionally, an amine.
    Type: Grant
    Filed: August 8, 2001
    Date of Patent: May 7, 2002
    Assignee: Advanced Technology Materials, Inc.
    Inventors: William A. Wojtczak, Long Nguyen, Stephen A. Fine
  • Patent number: 6380099
    Abstract: A given planarity of the underlying layer is ensured after removal of a porous layer. In the first step, a porous layer is filled with a preprocess solution (e.g., water). In the second step, the preprocess solution filling the porous layer is replaced with an etchant (e.g., fluoric acid), and the porous layer is etched by the etchant. With this process, the time in which the porous layer is filled with the etchant is shortened to suppress variations in progress of etching.
    Type: Grant
    Filed: December 15, 1998
    Date of Patent: April 30, 2002
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kiyofumi Sakaguchi, Kazutaka Yanagita
  • Patent number: 6376380
    Abstract: The invention includes methods of forming memory circuitry, including methods of forming memory circuitry comprising a buried bit line array of memory cells. In one implementation, a method of forming memory circuitry comprising a buried bit line array of memory cells includes, in a single planarizing step, planarizing storage node contact opening plugging material and bit line trench plugging material to insulating material to form bit lines and storage node contacts which are electrically isolated laterally from one another by the insulating material. In one implementation, a method of forming memory circuitry comprising a buried bit line array of memory cells, includes forming word lines over a semiconductor substrate. An insulating layer is formed over the substrate and over the word lines. Using a single photomasking step, bit line contact openings and capacitor storage node contact openings are patterned and formed into the insulating layer.
    Type: Grant
    Filed: August 30, 2000
    Date of Patent: April 23, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Sanh D. Tang, Raj Narasimhan
  • Patent number: 6373115
    Abstract: A micromechanical structure, such as a sensor, includes a substrate, a diaphragm, a cavity, a sacrificial layer and a terminating structure. The terminating structure is cut away in the region of the diaphragm in such a way that a media opening is located above the diaphragm. The diameter of the cavity is smaller over the entire circumference of the cavity than the diameter of the opening. A method for manufacturing the micromechanical structure is also provided.
    Type: Grant
    Filed: August 27, 1999
    Date of Patent: April 16, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventors: Stefan Kolb, Dieter Maier-Schneider, Klaus-Günter Oppermann, Hans-Jörg Timme
  • Patent number: 6372602
    Abstract: The present invention provides a method of forming a shallow trench isolation structure in a substrate. The method comprises the steps of: forming an isolation silicon oxide film which comprises an upper portion extending over a silicon oxide film over a silicon nitride film and a lower portion extending in a trench in a silicon substrate; and carrying out an isotropic etching to said upper portion of said isolation silicon oxide film and said silicon oxide film, thereby forming an isolation trench structure without divots in said trench in said silicon substrate.
    Type: Grant
    Filed: May 15, 2000
    Date of Patent: April 16, 2002
    Assignee: NEC Corporation
    Inventor: Akira Mitsuiki
  • Patent number: 6372650
    Abstract: A method of cleaning a substrate is provided which can remove contamination after treatment of a substrate surface by use of chemicals etc. prior to film formation. The method of cleaning the substrate surface uses of a vapor of chlorosulfonic acid (SO2Cl(OH)).
    Type: Grant
    Filed: December 28, 1998
    Date of Patent: April 16, 2002
    Assignees: Canon Sales Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Toshio Kato, Noboru Tokumasu
  • Patent number: 6368982
    Abstract: In a method for patterning a target material on a semiconductor substrate, a first hardmask material is deposited on the target material and a second hardmask material is deposited on the first hardmask material. The first hardmask material is different from the target material, and the second hardmask material is different from the first hardmask material. A patterned structure of a patterning material such a photoresist material is formed on the second hardmask material. Any exposed region of the second hardmask material is etched such that a second hardmask structure is formed from the second hardmask material remaining under the patterned structure. The etching reactant for etching the second hardmask material to form the second hardmask structure substantially does not etch the first hardmask material. The second hardmask structure is trimmed to reduce the length at each side of the second hardmask structure.
    Type: Grant
    Filed: November 15, 2000
    Date of Patent: April 9, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bin Yu
  • Patent number: 6369008
    Abstract: Cleaning solutions for removing contaminants from semiconductor substrates are provided and include from about 0.08 to about 0.1 percent by weight of hydrogen fluoride; from about 0.5 to about 0.6 percent by weight of ammonium fluoride; from about 24.9 to about 49.7 percent by weight of hydrogen peroxide; and from about 49.6 to about 74.5 percent by weight of water.
    Type: Grant
    Filed: September 19, 2000
    Date of Patent: April 9, 2002
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Heon-jae Ha, Dae-hyuk Chung, In-seak Hwang, Yong-sun Ko
  • Patent number: 6365525
    Abstract: The invention defines a method for fabricating a semiconductor insulation layer: A semiconductor substrate is first provided; an insulation layer is applied by way of region-by-region or whole-area application to the semiconductor substrate; impurity ions are selectively implanted into at least one predetermined zone of the insulation layer; then the insulation layer is selectively etched, and the insulation layer is thereby patterned in accordance with the zone or zones of the selectively implanted impurity ions.
    Type: Grant
    Filed: December 5, 2000
    Date of Patent: April 2, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Karlheinz Müller
  • Patent number: 6358761
    Abstract: A method and means for detection of oxidizing contamination in acid etching baths employed to etch silicon oxide layers from silicon substrates employed in silicon integrated circuit microelectronics fabrications. There is provided a silicon substrate having within a doped region formed employing ion implantation. The silicon substrate is immersed within a buffered oxide etch (BOE) acid bath, wherein the presence of an oxidizing contaminant correlates with an increase in the resistance of the doped region upon the removal of any silicon oxide layer on the silicon surface.
    Type: Grant
    Filed: September 15, 1999
    Date of Patent: March 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Hui-Ju Yoo, Szu-An Wu, Cheng-Kun Lin, Shiow-Jye Jenq
  • Patent number: 6358860
    Abstract: A method of making and certifying submicron line width calibration standards includes steps of thermal growth of a silicon dioxide film layer on top and vertical side wall surfaces of silicon regions, e.g. strips or mounds, that are formed over a silicon dioxide layer on a silicon substrate, then optically measuring the top film layer thickness, removing the oxide film from the top surface of the silicon regions via a planarization technique that protects the film on the side walls, and finally removing at least some, and in most cases preferably all, of the silicon material to leave just the oxide film that was on the side walls of the former silicon regions as submicron linear features, such as extended isolated lines or connected line segments arranged in a polygon.
    Type: Grant
    Filed: February 2, 2000
    Date of Patent: March 19, 2002
    Assignee: VLSI Standards, Inc.
    Inventors: Bradley W. Scheer, Ellen R. Laird
  • Publication number: 20020028583
    Abstract: A method and system for etching gate oxide during transistor fabrication is disclosed. The method and system begin by depositing a gate oxide on a substrate, followed by a deposition of a tunnel oxide mask over a portion of the gate oxide. The method and system further include performing a combination dry/wet-etch to remove the gate oxide uncovered by the tunnel oxide mask, which minimizes tunnel oxide undercut.
    Type: Application
    Filed: August 8, 2001
    Publication date: March 7, 2002
    Applicant: Advanced Micro Devices, Inc.
    Inventors: King Wai Kelwin Ko, Mark S. Chang, Hao Fang
  • Patent number: 6352939
    Abstract: A method for improving the electrical properties of a gate oxide is disclosed. The method includes the steps of providing a silicon wafer with a gate oxide formed thereon, providing a platinum plate, immersing the silicon wafer and the platinum plate in a chemical solution with a relatively high electrical conductivity, respectively connecting the silicon wafer and the platinum plate to the negative terminal and the positive terminal of a current source, inducing an electron current to flow from the negative terminal of the current source through the silicon wafer to the platinum plate, removing the silicon wafer from the chemical solution and removing the residual chemical solution from the surface of the gate oxide, and treating the gate oxide with an annealing process.
    Type: Grant
    Filed: February 17, 2000
    Date of Patent: March 5, 2002
    Assignee: National Science Council
    Inventors: Jenn-Gwo Hwu, Yen-Hao Shih