Tertiary Silicon Containing Compound Formation (e.g., Oxynitride Formation, Etc.) Patents (Class 438/786)
  • Patent number: 7300838
    Abstract: Disclosed is a semiconductor device comprising a substrate, an insulating film formed above the substrate and containing a metal, Si, N and O, the insulating film containing metal-N bonds larger than the sum total of metal-metal bonds and metal-Si bonds, and an electrode formed above the insulating film.
    Type: Grant
    Filed: April 20, 2006
    Date of Patent: November 27, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiro Koike, Masato Koyama, Tsunehiro Ino, Yuuichi Kamimuta, Akira Takashima, Masamichi Suzuki, Akira Nishiyama
  • Patent number: 7300886
    Abstract: A method of manufacturing a memory device includes forming a first dielectric layer over a substrate and forming a charge storage element over the first dielectric layer. The method also includes forming a second dielectric layer over the charge storage element and forming a control gate over the second dielectric layer. The method further includes depositing an interlayer dielectric over the control gate at a high temperature.
    Type: Grant
    Filed: June 8, 2005
    Date of Patent: November 27, 2007
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Ning Cheng, Wenmei Li, Angela T. Hui, Pei-Yuan Gao, Robert A. Huertas
  • Patent number: 7300817
    Abstract: A semiconductor device includes a plurality of photoelectric conversion photodiodes provided on a silicon substrate, and a refractive index matching film provided on each of the photodiodes. The refractive index matching film is composed of an insulating compound layer represented by SiOxNy (0?x and y) assuming that the molar ratio of silicon, oxygen and nitrogen of the compound layer is 1:x:y. The oxygen content of the compound layer is the lowest at the silicon interface with each photodiode and the highest in an upper portion of the compound layer, and the nitrogen content is the highest at the silicon interface with each photodiode and the lowest in the upper portion of the compound layer. Therefore, multiple reflection can be decreased to improve light receiving sensitivity, as compared with a case in which a SiN single layer and a SiO2 single layer are laminated.
    Type: Grant
    Filed: October 27, 2004
    Date of Patent: November 27, 2007
    Assignee: Sony Corporation
    Inventor: Ichiro Murakami
  • Patent number: 7297641
    Abstract: Multiple sequential processes are conducted in a reaction chamber to form ultra high quality silicon-containing compound layers, including silicon nitride layers. In a preferred embodiment, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. A silicon nitride layer is then formed by nitriding the silicon layer. By repeating these steps, a silicon nitride layer of a desired thickness is formed.
    Type: Grant
    Filed: July 18, 2003
    Date of Patent: November 20, 2007
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Christiaan J. Werkhoven, Christophe F. Pomarede
  • Patent number: 7294582
    Abstract: Sequential processes are conducted in a batch reaction chamber to form ultra high quality silicon-containing compound layers, e.g., silicon nitride layers, at low temperatures. Under reaction rate limited conditions, a silicon layer is deposited on a substrate using trisilane as the silicon precursor. Trisilane flow is interrupted. A silicon nitride layer is then formed by nitriding the silicon layer with nitrogen radicals, such as by pulsing the plasma power (remote or in situ) on after a trisilane step. The nitrogen radical supply is stopped. Optionally non-activated ammonia is also supplied, continuously or intermittently. If desired, the process is repeated for greater thickness, purging the reactor after each trisilane and silicon compounding step to avoid gas phase reactions, with each cycle producing about 5-7 angstroms of silicon nitride.
    Type: Grant
    Filed: August 25, 2005
    Date of Patent: November 13, 2007
    Assignee: ASM International, N.V.
    Inventors: Ruben Haverkort, Yuet Mei Wan, Marinus J. De Blank, Cornelius A. van der Jeugd, Jacobus Johannes Beulens, Michael A. Todd, Keith D. Weeks, Christian J. Werkhoven, Christophe F. Pomarede
  • Patent number: 7294553
    Abstract: A plasma-enhanced chemical vapor deposition process for depositing relatively high dielectric constant silicon nitride or oxynitride to form an MIM capacitor is described. The flow rate ratios for the silicon nitride layer are: silane-to-ammonia between 1:20 and 6:5 and silane-to-nitrogen flow between 1:40 and 3:5. A pressure in the process chamber is between 260 Pa and 530 Pa. The flow rate ratios for the silicon oxynitride layer are: silane-to-dinitrogen monoxide between 1:2 and 25:4 and silane-to-nitrogen between 1:100 and 1:10. A larger, non-stoichiometric amount of silicon is incorporated in the layers as the flow rate of the silicon precursor is increased. The layers are deposited in substeps in which the deposition is interrupted between successive substeps. The layer is exposed to an oxygen-containing plasma such that electrically conductive regions of the layer are converted into electrically insulating regions as a result of interaction with the plasma.
    Type: Grant
    Filed: May 14, 2003
    Date of Patent: November 13, 2007
    Assignee: Infineon Technologies AG
    Inventor: Mirko Vogt
  • Patent number: 7288292
    Abstract: The present invention provides a multiphase, ultra low k film which exhibits improved elastic modulus and hardness as well as various methods for forming the same. The multiphase, ultra low k dielectric film includes atoms of Si, C, O and H, has a dielectric constant of about 2.4 or less, nanosized pores or voids, an elastic modulus of about 5 or greater and a hardness of about 0.7 or greater. A preferred multiphase, ultra low k dielectric film includes atoms of Si, C, O and H, has a dielectric constant of about 2.2 or less, nanosized pores or voids, an elastic modulus of about 3 or greater and a hardness of about 0.3 or greater. The multiphase, ultra low k film is prepared by plasma enhanced chemical vapor deposition in which one of the following alternatives is utilized: at least one precursor gas comprising siloxane molecules containing at least three Si—O bonds; or at least one precursor gas comprising molecules containing reactive groups that are sensitive to e-beam radiation.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: October 30, 2007
    Assignee: International Business Machines Corporation
    Inventors: Stephen McConnell Gates, Alfred Grill
  • Publication number: 20070228528
    Abstract: An insulating layer is formed on a semiconductor substrate, and has a through hole for via. A porous silica layer has a trench for interconnection communicating to the through hole for via, and is formed on the insulating layer in contact therewith. A conductive layer is formed in the through hole for via and in the trench for interconnection. The insulating layer is formed from a material containing carbon, hydrogen, oxygen, and silicon, and having absorption peak attributed to Si—CH3 bond in a range from at least 1260 cm?1 to at most 1280 cm?1 (around 1274 cm?1) when measured with FT-IR. Thus, a semiconductor device having a porous insulating layer in which depth of the trench for interconnection is readily controlled, a dielectric constant is low, and increase in leakage current is less likely, as well as a manufacturing method thereof can be obtained.
    Type: Application
    Filed: March 28, 2007
    Publication date: October 4, 2007
    Inventors: Ryotaro Yagi, Shinichi Chikaki, Yoshinori Shishida
  • Patent number: 7271110
    Abstract: An embodiment of the invention is a HDP CVD FSG layer and an HDP CVD SIN layer with more stability (e.g., less free F and less free H). A feature is that the FSG and SIN are formed using a HDP CVD process with a high plasma density between 1E12 and 1E15 ions/cc and more preferably between 1E14 and 1E15 ions/cc. The high bias has sufficient energy to break the F—Si bonds in the FSG. The high bias has sufficient energy to break the H—Si bonds in the silicon nitride. Whereby the FSG layer has less F and the SiN layer has less H that increases the FSG/SiN interface reliability. The embodiments can be used on smooth surfaces (non-gap fill applications).
    Type: Grant
    Filed: January 5, 2005
    Date of Patent: September 18, 2007
    Assignee: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Wei Lu, Liang Choo Hsia
  • Patent number: 7268050
    Abstract: A method for fabricating a MOS transistor in a semiconductor device is disclosed. An example method subjects a surface of a semiconductor substrate to thermal oxidation to form an oxide film for forming a gate insulating film, deposits a polysilicon layer on the oxide film for forming a gate, applies a coat of photoresist onto the polysilicon layer, and performs exposure and development by using an exposure mask which defines the gate to form a photoresist pattern covering a region where the gate is to be formed. The example method also performs dry etching to remove the polysilicon layer for forming the gate and the oxide film for forming the gate insulating film, which are not protected with the photoresist pattern, to form a gate pattern, performs annealing under a nitrogen environment to form a nitrided oxide film, and forms buried lightly doped impurity ion layers on opposite sides of the gate pattern.
    Type: Grant
    Filed: December 28, 2004
    Date of Patent: September 11, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Min Ho Jeong
  • Patent number: 7268090
    Abstract: A method of manufacturing flash memory devices, comprises the steps of forming an oxide film on a semiconductor substrate, performing a pre-annealing process under N2 gas atmosphere, nitrifying the oxide film by performing a main annealing process under N2O atmosphere having the flow rate of 5 to 15 slm for 10 to 60 minutes, thus forming an oxynitride film, and performing a post-annealing process under N2 gas atmosphere.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: September 11, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young Bok Lee
  • Publication number: 20070200203
    Abstract: A semiconductor device in which selectivity in epitaxial growth is improved. There is provided a semiconductor device comprising a gate electrode formed over an Si substrate, which is a semiconductor substrate, with a gate insulating film therebetween and an insulating layer formed over sides of the gate electrode and containing a halogen element. With this semiconductor device, a silicon nitride film which contains the halogen element is formed over the sides of the gate electrode when an SiGe layer is formed over the Si substrate. Therefore, the SiGe layer epitaxial-grows over the Si substrate with high selectivity. As a result, an OFF-state leakage current which flows between, for example, the gate electrode and source/drain regions is suppressed and a manufacturing process suitable for actual mass production is established.
    Type: Application
    Filed: March 13, 2007
    Publication date: August 30, 2007
    Applicant: FUJITSU LIMITED
    Inventors: Masahiro Fukuda, Yosuke Shimamune, Masaaki Koizuka, Katsuaki Ookoshi
  • Patent number: 7259111
    Abstract: A method of depositing a organosilicate dielectric layer exhibiting high adhesion strength to an underlying substrate disposed within a single processing chamber without plasma arcing. The method includes positioning a substrate within a processing chamber having a powered electrode, flowing an interface gas mixture into the processing chamber, the interface gas mixture comprising one or more organosilicon compounds and one or more oxidizing gases, depositing a silicon oxide layer on the substrate by varying process conditions, wherein DC bias of the powered electrode varies less than 60 volts.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: August 21, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Deenesh Padhi, Ganesh Balasubramanian, Annamalai Lakshmanan, Zhenjiang Cui, Juan Carlos Rocha-Alvarez, Bok Hoen Kim, Hichem M'Saad, Steven Reiter, Francimar Schmitt
  • Patent number: 7256146
    Abstract: The present invention comprises an interconnect structure including a metal, interlayer dielectric and a ceramic diffusion barrier formed therebetween, where the ceramic diffusion barrier has a composition SivNwCxOyHz, where 0.1?v?0.9, 0?w?0.5, 0.01?x?0.9, 0?y?0.7, 0.01?z?0.8 for v+w+x+y+z=1. The ceramic diffusion barrier acts as a diffusion barrier to metals, i.e., copper. The present invention also comprises a method for forming the inventive ceramic diffusion barrier including the steps depositing a polymeric preceramic having a composition SivNwCxOyHz, where 0.1<v<0.8, 0<w<0.8, 0.05<x<0.8, 0<y<0.3, 0.05<z<0.8 for v+w+x+y+z=1 and then converting the polymeric preceramic layer into a ceramic diffusion barrier by thermal methods.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: August 14, 2007
    Assignee: International Business Machines Corporation
    Inventors: Stephan A. Cohen, Stephen McConnell Gates, Jeffrey C. Hedrick, Elbert E. Huang, Dirk Pfeiffer
  • Patent number: 7253121
    Abstract: A method for forming IMD films. A substrate is provided. A plurality of dielectric films are formed on the substrate, wherein each of the dielectric layers are deposited in-situ in one chamber with only one thermal cycle.
    Type: Grant
    Filed: September 9, 2004
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yi-Lung Cheng, Miao-Cheng Liao, Ying-Lang Wang
  • Patent number: 7253123
    Abstract: A method for forming sidewall spacers on a gate stack by depositing one or more layers of silicon containing materials using PECVD process(es) on a gate structure to produce a spacer having an overall k value of about 3.0 to about 5.0. The silicon containing materials may be silicon carbide, oxygen doped silicon carbide, nitrogen doped silicon carbide, carbon doped silicon nitride, nitrogen doped silicon oxycarbide, or combinations thereof. The deposition is performed in a plasma enhanced chemical vapor deposition chamber and the deposition temperature is less than 450° C. The sidewall spacers so produced provide good capacity resistance, as well as excellent structural stability and hermeticity.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: August 7, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Reza Arghavani, Michael Chiu Kwan, Li-Qun Xia, Kang Sub Yim
  • Patent number: 7238625
    Abstract: The present invention provides a method for processing a semiconductor device wherein a dielectric layer is partially converted into a silicon-oxy-nitride by incorporation of nitrogen atoms into the dielectric layer, which comprises a silicon oxide. Before the introduction of the nitrogen atoms into the dielectric layer, the dielectric layer is provided as a silicon oxide in which the atomic silicon to oxygen ration is greater than ½. In this way, MOS transistors are obtained with a high quality interface between the dielectric region and semiconductor substrate, and a dielectric region which is impermeable to impurity atoms from the gate region and which has a thickness which is substantially equal to the dielectric layer as deposited.
    Type: Grant
    Filed: October 15, 2004
    Date of Patent: July 3, 2007
    Assignees: Interuniversitair Microelektronika Centrum (IMEC), Koninklijke Philips Electronics N.V.
    Inventors: Vincent Charles Venezia, Florence Nathalie Cubaynes
  • Patent number: 7238599
    Abstract: An array of NROM flash memory cells configured to store at least two bits per four F2. Split vertical channels are generated along each side of adjacent pillars. A single control gate is formed over the pillars and in the trench between the pillars. The split channels can be connected by an n+ region at the bottom of the trench or the channel wrapping around the trench bottom. Each gate insulator is capable of storing a charge that is adequately separated from the other charge storage area due to the increased channel length.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: July 3, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Leonard Forbes
  • Patent number: 7235502
    Abstract: A gate dielectric structure (201) fabrication process includes forming a transitional dielectric film (205) overlying a silicon oxide film (204). A high dielectric constant film (206) is then formed overlying an upper surface of the transitional dielectric film (205). The composition of the transitional dielectric film (205) at the silicon oxide film (204) interface primarily comprises silicon and oxygen. The high K dielectric (206) and the composition of the transitional dielectric film (205) near the upper surface primarily comprise a metal element and oxygen. Forming the transitional dielectric film (205) may include forming a plurality of transitional dielectric layers (207) where the composition of each successive transitional dielectric layer (207) has a higher concentration of the metal element and a lower concentration of silicon.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: June 26, 2007
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Sriram S. Kalpat, Voon-Yew Thean, Hsing H. Tseng, Olubunmi O. Adetutu
  • Patent number: 7232697
    Abstract: Provided are a semiconductor device and a method for its manufacture. In one example, the method includes forming an isolation structure having a first refraction index over a sensor embedded in a substrate. A first layer having a second refraction index that is different from the first refraction index is formed over the isolation structure. The first layer is removed from at least a portion of the isolation structure. A second layer having a third refraction index is formed over the isolation structure after the first layer is removed. The third refraction index is substantially similar to the first refraction index.
    Type: Grant
    Filed: April 5, 2004
    Date of Patent: June 19, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tzu-Hsuan Hsu, Dun-Nian Yaung, Shou-Gwo Wuu, Ho-Ching Chien, Chien-Hsien Tseng, Jeng-Shyan Lin
  • Patent number: 7226875
    Abstract: A method for enhancing stability of a fluorinated silicon glass layer is disclosed. A fluorinated silicon glass layer provided on a substrate is subjected to a phosphorous-containing and hydrogen-containing gas such as phosphine (PH3), for example. The gas forms reactive hydrogen species which removes fluorine radicals and reactive phosphorous species which forms a moisture-gettering and ion-gettering phosphorious oxide film the layer.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: June 5, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Cheng-Yuan Tsai, You-Hua Chou, Chih-Lung Lin
  • Patent number: 7226874
    Abstract: A substrate processing method forming an oxynitride film by nitriding an oxide film formed on a silicon substrate includes a nitridation processing step that nitrides a surface of the oxide film by radicals or ions formed by exciting a nitrogen gas by microwave-excited plasma, the nitridation processing is conducted at a substrate temperature of 500° C. or less by setting an electron temperature of the microwave-excited plasma to 2 eV or less, and by setting the resident time of oxygen in the processing space in which the substrate to be processed is held, to two seconds or less.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: June 5, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Seiji Matsuyama, Takuya Sugawara, Shigenori Ozaki, Toshio Nakanishi, Masaru Sasaki
  • Patent number: 7220630
    Abstract: A strained channel MOSFET device with improved charge carrier mobility and method for forming the same, the method including providing a first and second FET device having a respective first polarity and second polarity opposite the first polarity on a substrate; forming a strained layer having a stress selected from the group consisting of compressive and tensile on the first and second FET devices; and, removing a thickness portion of the strained layer over one of the first and second FET devices to improve charge carrier mobility.
    Type: Grant
    Filed: May 21, 2004
    Date of Patent: May 22, 2007
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kaun-Lun Cheng, Shui-Ming Cheng, Yu-Yuan Yao, Ka-Hing Fung, Sun-Jay Chang
  • Patent number: 7220634
    Abstract: An array of memory cells configured to store at least one bit per one F2 includes substantially vertical structures providing an electronic memory function spaced apart a distance equal to one half of a minimum pitch of the array. The structures providing the electronic memory function are configured to store more than one bit per gate. The array also includes electrical contacts to the memory cells including the substantially vertical structures. The cells can be programmed to have one of a number of charge levels trapped in the gate insulator adjacent to the first source/drain region such that the channel region has a first voltage threshold region (Vt1) and a second voltage threshold region (Vt2) and such that the programmed cell operates at reduced drain source current.
    Type: Grant
    Filed: December 16, 2003
    Date of Patent: May 22, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kirk D. Prall, Leonard Forbes
  • Patent number: 7220675
    Abstract: Disclosed herein is a method of forming a metal wiring of a semiconductor device.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: May 22, 2007
    Assignee: MagnaChip Semiconductor, Ltd.
    Inventor: Dong Joon Kim
  • Patent number: 7217619
    Abstract: The top of the semiconductor body (1) has a sacrificial layer (4) made of nitride applied to it on a region, which is provided for the actuation circuit. A memory layer (6) provided for the memory cells is applied over the entire area and is removed above the sacrificial layer (4) by dry etching. The nitride in the sacrificial layer (4) can then be removed by wet chemical means without starting to etch the semiconductor material.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: May 15, 2007
    Assignee: Infineon Technologies AG
    Inventor: Roman Knoefler
  • Patent number: 7211524
    Abstract: The present invention relates to a method of forming an insulating film in a semiconductor device. After a mixed gas of alkyl silane gas and N2O gas is supplied into the deposition equipment, a radio frequency power including a short pulse wave for causing incomplete reaction upon a gas phase reaction is applied to generate nano particle. The nano particle is then reacted to oxygen radical to form the insulating film including a plurality of nano voids. A low-dielectric insulating film that can be applied to the nano technology even in the existing LECVD equipment is formed.
    Type: Grant
    Filed: December 5, 2002
    Date of Patent: May 1, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Choon Kun Ryu, Tae Kyung Kim
  • Patent number: 7208325
    Abstract: A low-k dielectric layer having a composition of silicon, oxygen and carbon is removed from a wafer. The low-k dielectric layer is removed by exposing a surface of the low-k dielectric layer to an oxygen-containing gas to oxidized the surface. The oxidized surface is immersed in an etching solution having HF and H2SO4 to etch the low-k dielectric layer. The etched surface is exposed to at least one of (i) an etching solution having H2SO4 and H2O2, and (ii) an RF or microwave energized oxygen-containing gas, to remove the low-k dielectric layer from the wafer.
    Type: Grant
    Filed: January 18, 2005
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Hong Wang, Krishna Vepa, Paul V. Miller
  • Patent number: 7202186
    Abstract: Ultra-thin oxynitride layers are formed utilizing low-pressure processing to achieve self-limiting oxidation of substrates and provide ultra-thin oxynitride. The substrates to be processed can contain an initial dielectric layer such as an oxide layer, an oxynitride layer, or a nitride layer, or alternatively can lack an initial dielectric layer. The processing can be carried out using a batch type process chamber or a single-wafer process chamber.
    Type: Grant
    Filed: July 31, 2003
    Date of Patent: April 10, 2007
    Assignees: Tokyo Electron Limited, International Business Machines Corporation (IBM)
    Inventors: David L O'Meara, Cory Wajda, Anthony Dip, Michael Toeller, Toshihara Furukawa, Kristen Scheer, Alessandro Callegari, Fred Buehrer, Sufi Zafar, Evgeni Gousev, Anthony Chou, Paul Higgins
  • Patent number: 7199023
    Abstract: A dielectric film containing atomic layer deposited HfSiON and a method of fabricating such a dielectric film produce a reliable dielectric layer having an equivalent oxide thickness thinner than attainable using SiO2. The HfSiON layer thickness is controlled by repeating for a number of cycles a sequence including pulsing a hafnium containing precursor into a reaction chamber, pulsing an oxygen containing precursor into the reaction chamber, pulsing a silicon containing precursor into the reaction chamber, and pulsing a nitrogen containing precursor until a desired thickness is formed. Dielectric films containing atomic layer deposited HfSiON are thermodynamically stable such that the HfSiON will have minimal reactions with a silicon substrate or other structures during processing.
    Type: Grant
    Filed: August 28, 2002
    Date of Patent: April 3, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7195928
    Abstract: The invention provides a method for forming a ferroelectric thin film that is uniform and good in crystallinity. The method includes applying a liquid to a surface of a substrate. The liquid includes ultra-fine particle powder comprising at least one element constituting the ferroelectric thin film to a surface of a substrate. The liquid applied to the surface of substrate is then baked.
    Type: Grant
    Filed: April 14, 2004
    Date of Patent: March 27, 2007
    Assignee: Rohm Co., Ltd.
    Inventor: Yoshikazu Fujimori
  • Patent number: 7192888
    Abstract: A deposition method includes forming a nucleation layer over a substrate, forming a layer of a first substance at least one monolayer thick chemisorbed on the nucleation layer, and forming a layer of a second substance at least one monolayer thick chemisorbed on the first substance. The chemisorption product of the first and second substance may include silicon and nitrogen. The nucleation layer may comprise silicon nitride. Further, a deposition method may include forming a first part of a nucleation layer on a first surface of a substrate and forming a second part of a nucleation layer on a second surface of the substrate. A deposition layer may be formed on the first and second parts of the nucleation layer substantially non-selectively on the first part of the nucleation layer compared to the second part. The first surface may be a surface of a borophosphosilicate glass layer. The second surface may be a surface of a rugged polysilicon layer.
    Type: Grant
    Filed: August 21, 2000
    Date of Patent: March 20, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Garry A. Mercaldi
  • Patent number: 7176144
    Abstract: Methods of preparing a low-k dielectric material on a substrate are provided. The methods involve using plasma techniques to remove porogen from a precursor layer comprising porogen and a dielectric matrix and to protect the dielectric matrix with a silanol capping agent, resulting in a low-k dielectric matrix. Porogen removal and silanol capping can occur concurrently or sequentially. If performed sequentially, silanol capping is performed without first exposing the dielectric matrix to moisture or ambient conditions.
    Type: Grant
    Filed: February 23, 2004
    Date of Patent: February 13, 2007
    Assignee: Novellus Systems, Inc.
    Inventors: Feng Wang, Michelle T. Schulberg, Jianing Sun, Raashina Humayun, Patrick A. Van Cleemput
  • Patent number: 7172960
    Abstract: A method including introducing a dielectric layer over a substrate between an interconnection line and the substrate, the dielectric layer comprising a plurality of alternating material layers; and patterning an interconnection to the substrate. An apparatus comprising a substrate comprising a plurality of devices formed thereon; and an interlayer dielectric layer comprising a base layer and a cap layer, the cap layer comprising a plurality of alternating material layers overlying the substrate.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: February 6, 2007
    Assignee: Intel Corporation
    Inventors: Sanjay S. Natarajan, Sean W. King, Khaled A. Elamrawi
  • Patent number: 7166516
    Abstract: The semiconductor device fabrication method comprises the step of forming gate electrode 20 on a semiconductor substrate 10 with a gate insulation film 18 formed therebetween; the step of implanting dopants in the semiconductor substrate 10 with the gate electrode 20 as the mask to form dopant diffused regions 28, 36; the step of forming a silicon oxide film 38 on the semiconductor substrate 10, covering the gate electrodes 20; anisotropically etching the silicon oxide film 38 to form sidewall spacers 42 including the silicon oxide film 38 on the side walls of the gate electrode 20. In the step of forming a silicon oxide film 38, the silicon oxide film 38 is formed by thermal CVD at a 500–580° C. film forming temperature, using bis(tertiary-butylamino)silane and oxygen as raw materials.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: January 23, 2007
    Assignee: Fujitsu Limited
    Inventors: Masayuki Furuhashi, Toshifumi Mori, Young Suk Kim, Takayuki Ohba, Ryou Nakamura
  • Patent number: 7163880
    Abstract: The present invention provides, in one embodiment, a process for fabricating a metal gate stack (200) for a semiconductor device (205). The process includes depositing a metal layer (210) over a gate dielectric layer (215) located over a semiconductor substrate (220). The process further includes forming a polysilicon layer (225) over the metal layer (210) and creating a protective layer (230) over the polysilicon layer (225). The process also includes placing an inorganic anti-reflective coating (235) over the protective layer (230). Other embodiments include a metal gate stack precursor structure (100) and a method of manufacturing an integrated circuit (300).
    Type: Grant
    Filed: June 2, 2004
    Date of Patent: January 16, 2007
    Assignee: Texas Instruments Incorporated
    Inventor: Mark R. Visokay
  • Patent number: 7157384
    Abstract: Methods are provided for depositing a silicon carbide layer having significantly reduced current leakage. The silicon carbide layer may be a barrier layer or part of a barrier bilayer that also includes a barrier layer. Methods for depositing oxygen-doped silicon carbide barrier layers are also provided. The silicon carbide layer may be deposited by reacting a gas mixture comprising an organosilicon compound, an aliphatic hydrocarbon comprising a carbon-carbon double bond or a carbon-carbon triple bond, and optionally, helium in a plasma. Alternatively, the silicon carbide layer may be deposited by reacting a gas mixture comprising hydrogen or argon and an organosilicon compound in a plasma.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: January 2, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Kang Sub Yim, Melissa M. Tam, Dian Sugiarto, Chi-I Lang, Peter Wai-Man Lee, Li-Qun Xia
  • Patent number: 7144606
    Abstract: The present invention generally provides improved adhesion and oxidation resistance of carbon-containing layers without the need for an additional deposited layer. In one aspect, the invention treats an exposed surface of carbon-containing material, such as silicon carbide, with an inert gas plasma, such as a helium (He), argon (Ar), or other inert gas plasma, or an oxygen-containing plasma such as a nitrous oxide (N2O) plasma. Other carbon-containing materials can include organic polymeric materials, amorphous carbon, amorphous fluorocarbon, carbon containing oxides, and other carbon-containing materials. The plasma treatment is preferably performed in situ following the deposition of the layer to be treated. Preferably, the processing chamber in which in situ deposition and plasma treatment occurs is configured to deliver the same or similar precursors for the carbon-containing layer(s). However, the layer(s) can be deposited with different precursors.
    Type: Grant
    Filed: November 22, 2004
    Date of Patent: December 5, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Judy Huang
  • Patent number: 7138338
    Abstract: A method and structure for forming deep trenches in a semiconductor substrate is provided. The method comprises: providing a semiconductor substrate; forming a pad oxide layer on the semiconductor substrate; forming a pad nitride layer on the pad oxide layer; forming a borophosphosilicate glass layer on the pad nitride layer; forming a borosilicate glass layer on the borophosphosilicate glass layer; and forming deep trenches through the borosilicate glass layer, through the borophosphosilicate glass layer, through the pad nitride, through the pad oxide, and into the semiconductor substrate. The borosilicate glass layer and the borophosphosilicate glass layer function as a composite hard mask in forming the deep trenches. With the borophosphosilicate glass layer, the composite hard mask can be easily removed by dry etch process using hydrogen fluoride vapor after the deep trenches have been formed.
    Type: Grant
    Filed: March 29, 2004
    Date of Patent: November 21, 2006
    Assignee: NANYA Technology Corporation
    Inventors: Chang-Rong Wu, Yinan Chen, Tuz-Ching Tsai
  • Patent number: 7135422
    Abstract: Multi-layered structures formed using atomic-layer deposition processes include multiple metal oxide layers wherein the metal oxide layers are formed without the presence of interlayer oxide layers and may include different metal oxide compositions.
    Type: Grant
    Filed: July 2, 2004
    Date of Patent: November 14, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Gab-Jin Nam, Jong-Wan Kwon, Han-Mei Choi, Jae-Soon Lim, Seung-Hwan Lee, Ki-Chul Kim, Sung-Tae Kim, Young-Sun Kim
  • Patent number: 7129125
    Abstract: A semiconductor device comprises a semiconductor region including silicon, and an insulating film including silicon, oxygen, nitrogen, and helium, the dielectric film provided on the semiconductor region, and the dielectric film having a concentration distribution with respect to a film thickness direction, the concentration distribution having a maximal value of concentration of the helium in a surface portion on the semiconductor region side and a maximal value of concentration of the nitrogen in a surface portion on a side opposite to the semiconductor region.
    Type: Grant
    Filed: February 25, 2004
    Date of Patent: October 31, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuyuki Sekine, Seiji Inumiya, Ichiro Mizushima
  • Patent number: 7129189
    Abstract: An method employing atomic layer deposition (ALD) and rapid vapor deposition (RVD) techniques conformally deposits a dielectric material on small features of a substrate surface. The resulting dielectric film applies a phosphate-doped silicate film using atomic layer deposition (ALD) and rapid surface catalyzed vapor deposition (RVD). The method includes the following four principal operations: exposing a substrate surface to an aluminum-containing precursor gas to form a substantially saturated layer of aluminum-containing precursor on the substrate surface; exposing the substrate surface to a phosphate-containing precursor gas to form aluminum phosphate on the substrate surface; exposing the substrate surface to an aluminum-containing precursor gas to form a second substantially saturated layer of aluminum-containing precursor on the substrate surface; and exposing the substrate surface to a silicon-containing precursor gas to form the dielectric film.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: October 31, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Dennis M. Hausmann, Adrianne K. Tipton, Bunsen Nie, George D. Papasouliotis, Ron Rulkens, Raihan M. Tarafdar
  • Patent number: 7129187
    Abstract: A method for low-temperature plasma-enhanced chemical vapor deposition of a silicon-nitrogen-containing film on a substrate. The method includes providing a substrate in a process chamber, exciting a reactant gas in a remote plasma source, thereafter mixing the excited reactant gas with a silazane precursor gas, and depositing a silicon-nitrogen-containing film on the substrate from the excited gas mixture in a chemical vapor deposition process. In one embodiment of the invention, the reactant gas can contain a nitrogen-containing gas to deposit a SiCNH film. In another embodiment of the invention, the reactant gas can contain an oxygen-containing gas to deposit a SiCNOH film.
    Type: Grant
    Filed: July 14, 2004
    Date of Patent: October 31, 2006
    Assignee: Tokyo Electron Limited
    Inventor: Raymond Joe
  • Patent number: 7125812
    Abstract: A CVD apparatus (2) forms an insulating film, which is a silicon oxide film, silicon nitride film, or silicon oxynitride film. The CVD apparatus includes a process chamber (8) to accommodate a target substrate (W), a support member (20) to support the target substrate in the process chamber, a heater (12) to heat the target substrate supported by the support member, an exhaust section (39) to vacuum-exhaust the process chamber, and a supply section (40) to supply a gas into the process chamber. The supply section includes a first circuit (42) to supply a first gas of a silane family gas, a second circuit (44) to supply a second gas, which is an oxidizing gas, nitriding gas, or oxynitriding gas, and a third circuit (46) to supply a third gas of a carbon hydride gas, and can supply the first, second, and third gases together.
    Type: Grant
    Filed: January 14, 2003
    Date of Patent: October 24, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Kumagai, Hitoshi Katoh, Jinsu Lee, Shingo Maku
  • Patent number: 7125794
    Abstract: A first CVD dielectric layer is deposited on a surface of a semiconductor substrate. Next, low-k layers are deposited in at least two different steps to form one of a via-layer dielectric film and a wiring-layer dielectric film on the first CVD dielectric layer. Immediately after the depositions, thermal treatment is performed. A second CVD dielectric layer is deposited on the low-k layers. A groove is formed in the second CVD dielectric layer and the low-k layers. A metal layer is deposited on that structure, filling the groove. The metal layer is removed from the second CVD dielectric layer by chemical mechanical polishing.
    Type: Grant
    Filed: September 15, 2004
    Date of Patent: October 24, 2006
    Assignee: Renesas Technology Corp.
    Inventors: Seiichi Kondo, Kaori Misawa, Shunichi Tokitoh, Takashi Nasuno
  • Patent number: 7115530
    Abstract: A system and method for manufacturing semiconductor devices with dielectric layers having a dielectric constant greater than silicon dioxide includes depositing a dielectric layer on a substrate and subjecting the dielectric layer to a plasma to reduce top surface roughness in the dielectric layer.
    Type: Grant
    Filed: December 3, 2003
    Date of Patent: October 3, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Manuel A. Quevedo-Lopez, James J. Chambers, Luigi Colombo, Mark R. Visokay
  • Patent number: 7112539
    Abstract: A multi-layer dielectric layer structure for a semiconductor device. The multi-layer dielectric layer structure comprises a silicate interface layer having a dielectric constant greater than that of silicon nitride and a high-k dielectric layer overlying the silicate interface layer. The high-k dielectric layer comprises one or more ordered pairs of first and second layers. With the present invention, the dielectric constant of the high-k dielectric layer can be optimized while improving interface characteristics. With a higher crystallization temperature realized by forming the multi-layer structure, each of whose layers is not more than the critical thickness, leakage current can be reduced, thereby improving device performance.
    Type: Grant
    Filed: November 29, 2004
    Date of Patent: September 26, 2006
    Assignee: Samsung Electronic Co., Ltd.
    Inventors: Jongho Lee, Nae-In Lee
  • Patent number: 7109131
    Abstract: The present invention relates generally to semiconductor fabrication. More particularly, the present invention relates to system and method of selectively oxidizing one material with respect to another material formed on a semiconductor substrate. A hydrogen-rich oxidation system for performing the process are provided in which innovative safety features are included to avoid the dangers to personnel and equipment that are inherent in working with hydrogen-rich atmospheres.
    Type: Grant
    Filed: June 6, 2003
    Date of Patent: September 19, 2006
    Assignee: Aviza Technology, Inc.
    Inventors: Robert B. Herring, Cole Porter, Travis Dodwell, Ed Nazareno, Chris Ratliff, Anindita Chatterji
  • Patent number: 7101775
    Abstract: A semiconductor device comprising a semiconductor substrate, a gate dielectrics formed on the semiconductor substrate and including a silicon oxide film containing a metallic element, the silicon oxide film containing the metallic element including a first region near a lower surface thereof, a second region near an upper surface thereof, and a third region between the first and second regions, the metallic element contained in the silicon oxide film having a density distribution in a thickness direction of the silicon oxide film, a peak of the density distribution existing in the third region, and an electrode formed on the gate dielectrics.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 5, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kazuhiro Eguchi, Seiji Inumiya, Yoshitaka Tsunashima
  • Patent number: 7101811
    Abstract: A dielectric layer may be formed by depositing the dielectric layer to an intermediate thickness and applying a nitridation process to the dielectric layer of intermediate thickness. The dielectric layer may then be deposited to the final, desired thickness.
    Type: Grant
    Filed: May 8, 2003
    Date of Patent: September 5, 2006
    Assignee: Intel Corporation
    Inventors: Ronald John Kuse, Tetsuji Yasuda