Silicon Oxide Formation Patents (Class 438/787)
  • Patent number: 7232772
    Abstract: A substrate processing method comprises the step of forming an oxide film on a silicon substrate surface, and introducing nitrogen atoms into the oxide film by exposing the oxide film to nitrogen radicals excited in plasma formed by a microwave introduced via a planar antenna.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: June 19, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Seiji Matsuyama, Takuya Sugawara, Shigenori Ozaki, Toshio Nakanishi, Masaru Sasaki
  • Patent number: 7226876
    Abstract: Methods are provided for processing a substrate for depositing an adhesion layer having a low dielectric constant between two low k dielectric layers. In one aspect, the invention provides a method for processing a substrate including depositing a barrier layer on the substrate, wherein the barrier layer comprises silicon and carbon and has a dielectric constant less than 4, depositing a dielectric initiation layer adjacent the barrier layer, and depositing a first dielectric layer adjacent the dielectric initiation layer, wherein the dielectric layer comprises silicon, oxygen, and carbon and has a dielectric constant of about 3 or less.
    Type: Grant
    Filed: May 11, 2005
    Date of Patent: June 5, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Francimar Campana Schmitt, Li-Qun Xia, Son Van Nguyen, Shankar Venkataraman
  • Patent number: 7223706
    Abstract: A method of forming a plasma enhanced deposited oxide film on a substrate includes introducing into a chamber containing the substrate silane gas and a dopant gas such as phosphine. The chamber is pressurized and energy is applied to create a plasma. The energy may be a dual frequency energy. The gas rates and pressure are selected to produce a plasma enhanced deposited oxide film on a substrate having a Si—O—Si bond peak absorbance in the IR spectrum of at least 1092 cm?1.
    Type: Grant
    Filed: September 30, 2004
    Date of Patent: May 29, 2007
    Assignee: Intersil Americas, Inc.
    Inventors: Katie H. Pentas, Mark D. Bordelon, Jack H. Linn
  • Patent number: 7223705
    Abstract: A method of modifying the porosity of a thickness of a layer of porous dielectric material having a surface and formed on a semiconductor substrate is provided by exposing the porous dielectric material to a sufficient temperature in the presence of a first gas to drive moisture particles out of the pores. Modifying also includes, exposing the porous dielectric material to a radio frequency stimulus of sufficient power in the presence of a second gas to densify a thickness of the porous dielectric material to reduce or prohibit subsequent absorption of moisture or reactant gas particles by the thickness or porous dielectric material.
    Type: Grant
    Filed: May 6, 2003
    Date of Patent: May 29, 2007
    Assignee: Intel Corporation
    Inventors: Mandyam A. Sriram, Jennifer O'Loughlin
  • Patent number: 7214631
    Abstract: A method for forming a gate dielectric layer is described. A silicon oxide layer is formed on a semiconductor substrate. Then, a first and a second nitrogen doping processes are performed in sequence to the silicon oxide layer using plasma comprising inert gas and gaseous nitrogen to form a gate dielectric layer. The first nitrogen doping process is performed at a lower power, a lower pressure and a higher inert gas to nitrogen gas ratio than those at the second nitrogen doping process. The combination of the deeper nitrogen distribution of the first nitrogen doping process and the shallower nitrogen distribution of the second nitrogen doping process produces a flatter total nitrogen distribution profile so that leakage current from electron tunneling through the gate dielectric layer can be reduced.
    Type: Grant
    Filed: January 31, 2005
    Date of Patent: May 8, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Yu-Ren Wang, Ying-Wei Yen, Liyuan Cheng, Kuo-Tai Huang
  • Patent number: 7214595
    Abstract: A method of producing semiconductor devices is provided, which makes it possible to bury a silicon oxide without shape deterioration in device isolation trenches. The method comprises the steps of: forming an etching resistive mask over a semiconductor substrate; etching the semiconductor substrate through an opening in the etching resistive mask to form a device isolation trench; forming a coat of a silazane perhydride polymer solution over the semiconductor substrate having the device isolation trench formed therein; vaporizing a solvent from the coat and then subjecting the coat to chemical reaction to form a film of silicon oxide; removing said film of the silicon oxide leaving a residue inside said device isolation trench; and heating said silicon oxide left in said device isolation trench for densification.
    Type: Grant
    Filed: June 27, 2003
    Date of Patent: May 8, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsuko Kawasaki, Satoshi Matsuda, Hisakazu Matsumori, Hidenori Shibata, Kumi Okuwada
  • Patent number: 7208425
    Abstract: Embodiments of the present invention provide methods, apparatuses, and devices related to chemical vapor deposition of silicon oxide. In one embodiment, a single-step deposition process is used to efficiently form a silicon oxide layer exhibiting high conformality and favorable gap-filling properties. During a pre-deposition gas flow stabilization phase and an initial deposition stage, a relatively low ratio of silicon-containing gas:oxidant deposition gas is flowed, resulting in formation of highly conformal silicon oxide at relatively slow rates. Over the course of the deposition process step, the ratio of silicon-containing gas:oxidant gas is increased, resulting in formation of less-conformal oxide material at relatively rapid rates during later stages of the deposition process step.
    Type: Grant
    Filed: March 3, 2006
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Xinyua Xia, Zheng Yuan
  • Patent number: 7199061
    Abstract: A method of depositing a gate dielectric layer for a thin film transistor is provided. The gate dielectric layer is deposited using a plasma enhanced deposition with a gas mixture comprising a silicon and chlorine containing compound.
    Type: Grant
    Filed: April 21, 2003
    Date of Patent: April 3, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Beom Soo Park, Quanyuan Shang
  • Patent number: 7196021
    Abstract: A method for forming a silicon oxide layer over a substrate disposed in a high density plasma substrate processing chamber. The method includes flowing a process gas that includes a silicon-containing source, an oxygen-containing source and a fluorine-containing source into the substrate processing chamber and forming a plasma from said process gas. The substrate is heated to a temperature above 450° C. during deposition of said silicon oxide layer and the deposited layer has a fluorine content of less than 1.0 atomic percent.
    Type: Grant
    Filed: March 30, 2005
    Date of Patent: March 27, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Zhengquan Tan, Dongqing Li, Walter Zygmunt
  • Patent number: 7192888
    Abstract: A deposition method includes forming a nucleation layer over a substrate, forming a layer of a first substance at least one monolayer thick chemisorbed on the nucleation layer, and forming a layer of a second substance at least one monolayer thick chemisorbed on the first substance. The chemisorption product of the first and second substance may include silicon and nitrogen. The nucleation layer may comprise silicon nitride. Further, a deposition method may include forming a first part of a nucleation layer on a first surface of a substrate and forming a second part of a nucleation layer on a second surface of the substrate. A deposition layer may be formed on the first and second parts of the nucleation layer substantially non-selectively on the first part of the nucleation layer compared to the second part. The first surface may be a surface of a borophosphosilicate glass layer. The second surface may be a surface of a rugged polysilicon layer.
    Type: Grant
    Filed: August 21, 2000
    Date of Patent: March 20, 2007
    Assignee: Micron Technology, Inc.
    Inventor: Garry A. Mercaldi
  • Patent number: 7189662
    Abstract: The invention includes methods of forming and/or passivating semiconductor constructions. In particular aspects, various oxides of a semiconductor substrate can be formed by exposing semiconductive material of the substrate to deuterium-enriched steam. In other aspects, a semiconductor construction is passivated by subjecting the construction to an anneal at a temperature of greater than or equal to 350° C. while exposing the construction to a deuterium-enriched ambient.
    Type: Grant
    Filed: August 24, 2004
    Date of Patent: March 13, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, Chandra V. Mouli, M. Ceredig Roberts, Fernando Gonzalez
  • Patent number: 7189658
    Abstract: A method of processing a substrate including depositing a transition layer and a dielectric layer on a substrate in a processing chamber are provided. The transition layer is deposited from a processing gas including an organosilicon compound and an oxidizing gas. The flow rate of the organosilicon compound is ramped up during the deposition of the transition layer such that the transition layer has a carbon concentration gradient and an oxygen concentration gradient. The transition layer improves the adhesion of the dielectric layer to an underlying barrier layer on the substrate.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: March 13, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Annamalai Lakshmanan, Deenesh Padhi, Ganesh Balasubramanian, Zhenjiang David Cui, Daemian Raj, Juan Carlos Rocha-Alvarez, Francimar Schmitt, Bok Hoen Kim
  • Patent number: 7183143
    Abstract: A method for forming a nitrided tunnel oxide layer is described. A silicon oxide layer as a tunnel oxide layer is formed on a semiconductor substrate, and a plasma nitridation process is performed to implant nitrogen atoms into the silicon oxide layer. A thermal drive-in process is then performed to diffuse the implanted nitrogen atoms across the silicon oxide layer.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: February 27, 2007
    Assignee: Macronix International Co., Ltd.
    Inventor: Tzu-Yu Wang
  • Patent number: 7172970
    Abstract: A polish method for planarization is disclosed. The method uses a combination of a traditional oxide CMP and HSP-CMP (High Selectivity and Planarization) with a fix abrasive pad to meet the requirements of the CMP process for a device feature dimension under 0.18 micron even to 0.09 micron. By using a first polish step with a conventional polish pad and an oxide polish slurry, the non-uniformity of the over-fill thickness of the STI dielectric layer can be firstly removed and a much more smooth and uniform topography favorable for the HSP-CMP process the fix abrasive polishing pad can be obtained. Then the HSP-CMP process with the fix abrasive polishing pad can be performed to provide a planarized surface with accurate dimension control.
    Type: Grant
    Filed: March 11, 2003
    Date of Patent: February 6, 2007
    Assignee: United Microelectronics Corp.
    Inventors: Zong Huei Lin, Art Yu, Chia Rung Hsu, Teng-Chun Tsai
  • Patent number: 7172978
    Abstract: A method of depositing polymer thin films on a MEMS device having a wafer stack includes depositing one or more protection films on a polymer thin film layer on the wafer stack, fabricating the MEMS device, and removing the one or more protection films.
    Type: Grant
    Filed: July 21, 2004
    Date of Patent: February 6, 2007
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Hang Liao, Timothy Mellander, Mike Groh
  • Patent number: 7172960
    Abstract: A method including introducing a dielectric layer over a substrate between an interconnection line and the substrate, the dielectric layer comprising a plurality of alternating material layers; and patterning an interconnection to the substrate. An apparatus comprising a substrate comprising a plurality of devices formed thereon; and an interlayer dielectric layer comprising a base layer and a cap layer, the cap layer comprising a plurality of alternating material layers overlying the substrate.
    Type: Grant
    Filed: December 27, 2000
    Date of Patent: February 6, 2007
    Assignee: Intel Corporation
    Inventors: Sanjay S. Natarajan, Sean W. King, Khaled A. Elamrawi
  • Patent number: 7166542
    Abstract: A method of fabricating a passivation layer is provided. A substrate with a plurality of device structures and at least an interconnect thereon is provided. A patterned metallic layer is formed over the interconnection layer. A plasma-enhanced chemical vapor deposition process is performed to form a first passivation over the metallic layer such that the processing pressure is higher (and/or the processing power is lower) than the pressure (the power) used in prior art. A moisture impermeable second passivation is formed over the first passivation layer. With the first passivation formed in a higher processing pressure (and/or lower processing power), damages to metallic layers or devices due to plasma bombardment is minimized.
    Type: Grant
    Filed: November 21, 2003
    Date of Patent: January 23, 2007
    Assignee: Nanya Technology Corporation
    Inventors: Ming-Hung Lo, Liang-Pin Chou, Chun-Ming Wang, Li-Fu Chen
  • Patent number: 7166519
    Abstract: The present invention relates to a method for isolating semiconductor devices. The method includes the steps of: forming a patterned pad nitride layer pattern to open at least one isolation region on the substrate; forming a first trench and a second trench by etching the exposed substrate; depositing a first oxide layer to fill the first trench by performing an atomic layer deposition (ALD) method; etching a portion of the first oxide layer which is filled into the wide trench; and depositing a second oxide layer by performing a deposition method.
    Type: Grant
    Filed: June 12, 2004
    Date of Patent: January 23, 2007
    Assignee: Hynix Semiconductor Inc.
    Inventors: Sang-Tae Ahn, Dong-Sun Sheen, Seok-Pyo Song
  • Patent number: 7164191
    Abstract: A low relative permittivity SiOx film excellent in heat resistance without using an alkali metal, fluorine, etc., a method for modifying an SiOx film to accomplish a further reduction of the relative permittivity of the low relative permittivity SiOx film and further to increase the insulating property, a highly reliable semiconductor device free from crack or peeling of the film by employing the low relative permittivity SiOx film as an interlayer insulating film for metal wirings, are provided. The low relative permittivity film is characterized in that it is made of a porous material, the major constituent of which is SiOx (where 1.8?X?1.0), and the relative permittivity at 1 MHz is at most 2.3.
    Type: Grant
    Filed: May 7, 2001
    Date of Patent: January 16, 2007
    Assignee: Denki Kagaku Kogyo Kabushiki Kaisha
    Inventors: Hiroshi Morisaki, Yasuo Imamura
  • Patent number: 7163902
    Abstract: The present infra-red light-emitting device includes a substrate with a first window layer, a silicon dioxide layer positioned on the first window layer, silicon nanocrystals distributed in the silicon dioxide layer, a second window layer, a transparent conductive layer and a first ohmic contact electrode positioned in sequence on the silicon dioxide layer, and a second ohmic contact electrode positioned on the bottom surface of the substrate. The present method forms a sub-stoichiometric silica (SiOx) layer on a substrate, wherein the numerical ratio (x) of oxygen atoms to silicon atoms is smaller than 2. A thermal treating process is then performed in a nitrogen or argon atmosphere to transform the SiOx layer into a silicon dioxide layer with a plurality of silicon nanocrystals distributed therein. The thickness of the silicon dioxide layer is between 1 and 10,000 nanometers, and the diameter of the silicon nanocrystal is between 4 and 8 nanometers.
    Type: Grant
    Filed: August 25, 2004
    Date of Patent: January 16, 2007
    Assignee: Atomic Energy Council-Institute of Nuclear Energy Research
    Inventors: Tsun Neng Yang, Shan Ming Lan
  • Patent number: 7157325
    Abstract: A method for fabricating a semiconductor memory device in which a logic circuit and a nonvolatile memory are provided on a semiconductor substrate includes the steps of: forming an isolation region; forming a protective film made of an insulating material over the semiconductor substrate in a logic circuit region and a nonvolatile memory region; selectively introducing impurity ions in part of the semiconductor substrate in the logic circuit region; and removing the protective film formed over the logic circuit region. The step of introducing the impurity ions is performed before the step of removing the protective film is performed.
    Type: Grant
    Filed: October 8, 2004
    Date of Patent: January 2, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Masatoshi Arai
  • Patent number: 7153783
    Abstract: The present invention relates to semiconductor device fabrication and more specifically to a method and material for forming high density shallow trench isolation structures in integrated circuits capable of withstanding wet etch treatments. A silica dielectric film is formed on a substrate. The silica dielectric film has a density of from about 1.0 to about 2.3 g/ml, a SiC:SiO bond ratio of about 0.015 or more, a dielectric constant of about 4.0 or less, a breakdown voltage of about 2 MV/cm or more, and a wet etch resistance in a 100:1 by volume mixture of water and hydrogen fluoride of about 30 ?/minute or less.
    Type: Grant
    Filed: July 7, 2004
    Date of Patent: December 26, 2006
    Assignee: Honeywell International Inc.
    Inventors: Victor Lu, Lei Jin, Arlene J. Suedmeyer, Denis H. Endisch, Paul G. Apen, Brian J. Daniels, Deling Zhou, Ananth Naman
  • Patent number: 7148153
    Abstract: A process for forming an oxide layer includes forming a first oxide portion over a substrate at a temperature below a threshold temperature. A second oxide portion is formed under the first oxide portion at a temperature above the threshold temperature. The substrate is illustratively oxidizable silicon and the threshold temperature is the viscoelastic temperature of silicon dioxide.
    Type: Grant
    Filed: December 11, 2002
    Date of Patent: December 12, 2006
    Assignee: Agere Systems Inc.
    Inventors: Yuanning Chen, Sundar Srinivasan Chetlur, Pradip Kumar Roy
  • Patent number: 7148158
    Abstract: A semiconductor device includes a semiconductor device comprising a semiconductor substrate, source/drain regions formed in the semiconductor substrate, a gate insulation film formed on the semiconductor substrate, a gate electrode formed on the gate insulation film between the source/drain regions, and a gate sidewall spacer formed on side surfaces of the gate electrode, wherein the gate sidewall spacer is composed of silicon oxide containing 0.1–30 atomic % of chlorine.
    Type: Grant
    Filed: August 12, 2004
    Date of Patent: December 12, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshio Ozawa, Masayuki Tanaka, Kiyotaka Miyano, Shigehiko Saida
  • Patent number: 7144799
    Abstract: Disclosed is a method for pre-retaining CB opening in a DRAM manufacture process, wherein a CB opening is filed with a photo-resist layer and an LPD oxidation layer that is filled at room temperature to avoid damaging caused by conventional etching techniques. The LPD oxidation layer and the photo-resist are replaced easily by a polysilicon layer and a BPSG layer.
    Type: Grant
    Filed: April 6, 2005
    Date of Patent: December 5, 2006
    Assignee: Nan Ya Technology Corporation
    Inventors: Yinan Chen, Jeng-Ping Lin, Feng-Chuan Lin
  • Patent number: 7141485
    Abstract: A method for reducing sidewall capacitance by 25% or more in an STI structure is described. A conformal barrier layer is deposited on sloped sidewalls in a shallow trench within a substrate. The trench is filled with a low k dielectric material which is planarized and etched back. Next a barrier cap layer is deposited that is different than the underlying low k dielectric layer. In one embodiment, the barrier cap layer is a SiCOH material that is modified for enhanced CMP performance that yields fewer surface scratches and defects. A nitride etch stop layer and a pad oxide are removed above an active area on the substrate to afford the final STI structure. Optionally, the barrier cap layer is omitted and the low k dielectric layer extends slightly above the substrate level. Total parasitic capacitance in the resulting MOS device is reduced by 15% or more.
    Type: Grant
    Filed: June 13, 2003
    Date of Patent: November 28, 2006
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ke-Wei Su, Cheng Hsiao, Jaw-Kang Her
  • Patent number: 7141483
    Abstract: A method of filling a gap defined by adjacent raised features on a substrate includes providing a flow of a silicon-containing processing gas to a chamber housing the substrate and providing a flow of an oxidizing gas to the chamber. The method also includes depositing a first portion of a film as a substantially conformal layer in the gap by causing a reaction between the silicon-containing processing gas and the oxidizing gas. Depositing the conformal layer includes varying over time a ratio of the (silicon-containing processing gas):(oxidizing gas) and regulating the chamber to a pressure in a range from about 200 torr to about 760 torr throughout deposition of the conformal layer. The method also includes depositing a second portion of the film as a bulk layer.
    Type: Grant
    Filed: January 14, 2004
    Date of Patent: November 28, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Zheng Yuan, Reza Arghavani, Shankar Venkataraman
  • Patent number: 7125812
    Abstract: A CVD apparatus (2) forms an insulating film, which is a silicon oxide film, silicon nitride film, or silicon oxynitride film. The CVD apparatus includes a process chamber (8) to accommodate a target substrate (W), a support member (20) to support the target substrate in the process chamber, a heater (12) to heat the target substrate supported by the support member, an exhaust section (39) to vacuum-exhaust the process chamber, and a supply section (40) to supply a gas into the process chamber. The supply section includes a first circuit (42) to supply a first gas of a silane family gas, a second circuit (44) to supply a second gas, which is an oxidizing gas, nitriding gas, or oxynitriding gas, and a third circuit (46) to supply a third gas of a carbon hydride gas, and can supply the first, second, and third gases together.
    Type: Grant
    Filed: January 14, 2003
    Date of Patent: October 24, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Kumagai, Hitoshi Katoh, Jinsu Lee, Shingo Maku
  • Patent number: 7125815
    Abstract: This invention includes methods of forming phosphorus doped silicon dioxide comprising layers, and methods of forming trench isolation in the fabrication of integrated circuitry. In one implementation, a method of forming a phosphorus doped silicon dioxide comprising layer includes positioning a substrate within a deposition chamber. First and second vapor phase reactants are introduced in alternate and temporally separated pulses to the substrate within the chamber in a plurality of deposition cycles under conditions effective to deposit a phosphorus doped silicon dioxide comprising layer on the substrate. One of the first and second vapor phase reactants is PO(OR)3 where R is hydrocarbyl, and an other of the first and second vapor phase reactants is Si(OR)3OH where R is hydrocarbyl.
    Type: Grant
    Filed: July 7, 2003
    Date of Patent: October 24, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 7122487
    Abstract: A deposition oxide interface with improved oxygen bonding and a method for bonding oxygen in an oxide layer are provided. The method includes depositing an M oxide layer where M is a first element selected from a group including elements chemically defined as a solid and having an oxidation state in a range of +2 to +5, plasma oxidizing the M oxide layer at a temperature of less than 400° C. using a high density plasma source, and in response to plasma oxidizing the M oxide layer, improving M-oxygen bonding in the M oxide layer. The plasma oxidation process diffuses excited oxygen radicals into the oxide layer. The plasma oxidation is performed at specified parameters including temperature, power density, pressure, process gas composition, and process gas flow. In some aspects of the method, M is silicon, and the oxide interface is incorporated into a thin film transistor.
    Type: Grant
    Filed: March 15, 2004
    Date of Patent: October 17, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventor: Pooran Chandra Joshi
  • Patent number: 7122418
    Abstract: A method of fabricating an organic electroluminescent device. A substrate comprising an organic electroluminescent unit thereon is provided. A passivation layer is formed on the substrate to cover the organic electroluminescent layer. An ion beam is provided to perform a surface treatment on the passivation layer. A plastic layer is formed on the passivation layer. The steps of forming the passivation layer, providing the ion beam and forming the plastic layer are repeated at least once to enhance device reliability. In addition, a solid passivation layer is formed by the steps of forming the passivation layer, providing the ion beam and forming the plastic layer.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: October 17, 2006
    Assignee: Au Optronics Corporation
    Inventors: Chih-Hung Su, Yi-Chang Tsao
  • Patent number: 7119027
    Abstract: Where a thin film formed on a glass substrate is etched with a solution containing a fluoride, insoluble residues formed by the reaction of the solution with glass substrate components adhere to the back of the substrate to cause etching non-uniformity called roller marks. So, a solution is supplied directly to supporting members for supporting the glass substrate, or concentratedly to a region where the substrate and the supporting members come into contact and from a position opposite to the transporting direction of the substrate, or to both the supporting members and regions where the substrate and the supporting members come into contact. This enables the roller marks to be kept from forming, consequently making it possible to improve display quality of display devices.
    Type: Grant
    Filed: January 8, 2004
    Date of Patent: October 10, 2006
    Assignee: Hitachi Displays Ltd.
    Inventors: Toshiyuki Ohsawa, Yoichi Takahara, Toshiki Kaneko, Daisuke Sonoda
  • Patent number: 7115528
    Abstract: A method of forming (and apparatus for forming) a metal oxide layer, preferably a dielectric layer, on a substrate, particularly a semiconductor substrate or substrate assembly, using a vapor deposition process and ozone with one or more metal organo-amine precursor compounds.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: October 3, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Brian A. Vaartstra, Timothy A. Quick
  • Patent number: 7112546
    Abstract: The present invention provides, in one embodiment, a method of manufacturing semiconductor devices. The method comprises transferring one or more substrate into a deposition chamber and depositing material layers on the substrate. The chamber has an interior surface. The method further includes, between the transfers, cleaning the deposition chamber using an in situ ramped cleaning process when material layer deposits in the deposition chamber reaches a predefined thickness. The in situ ramped cleaning process comprises forming a reactive plasma cleaning zone by dissociating a gaseous fluorocompound introduced into a deposition chamber in a presence of a plasma. The cleaning process further includes ramping a flow rate of the gaseous fluorocompound in a presence of the plasma to move the reactive plasma cleaning zone throughout the deposition chamber, thereby preventing a build-up of localized metal compound deposits on the interior surface.
    Type: Grant
    Filed: September 2, 2003
    Date of Patent: September 26, 2006
    Assignee: Texas Instruments Incorporated
    Inventors: Ignacio Blanco, Jin Zhao, Nathan Kruse
  • Patent number: 7112531
    Abstract: Methods of providing silicon oxide on a substrate in a single process step by simultaneously introducing both a silicon source gas and an etch gas into a CVD chamber. As a result, the method will typically involve simultaneous deposition and etching of the silicon oxide. The method is particularly useful for providing silicon oxide spacers with faceted surfaces.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: September 26, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Anand Srinivasan
  • Patent number: 7112538
    Abstract: A single-wafer, chemical vapor deposition reactor is provided with hydrogen and silicon source gas suitable for epitaxial silicon deposition, as well as a safe mixture of oxygen in a non-reactive gas. Methods are provided for forming oxide and silicon layers within the same chamber. In particular, a sacrificial oxidation is performed, followed by a hydrogen bake to sublime the oxide and leave a clean substrate. Epitaxial deposition can follow in situ. A protective oxide can also be formed over the epitaxial layer within the same chamber, preventing contamination of the critical epitaxial layer. Alternatively, the oxide layer can serve as the gate dielectric, and a polysilicon gate layer can be formed in situ over the oxide.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: September 26, 2006
    Assignee: ASM America, Inc.
    Inventors: Armand Ferro, Ivo Raaijmakers, Derrick Foster
  • Patent number: 7109103
    Abstract: A semiconductor device including a silicon substrate, a gate insulator film formed on the silicon substrate and including silicon, deuterium, and at least one of oxygen and nitrogen, and a gate electrode formed on the gate insulator film wherein a deuterium concentration in a vicinity of an interface of the gate insulator film with the gate electrode is at least 1×1017 cm?3, and a deuterium concentration in a vicinity of an interface of the gate insulator film with the silicon substrate is higher than the deuterium concentration in the vicinity of the interface of the gate insulation film with the gate electrode.
    Type: Grant
    Filed: June 22, 2004
    Date of Patent: September 19, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuichiro Mitani, Hideki Satake
  • Patent number: 7109132
    Abstract: High-density plasma CVD processes with improved gap filling characteristics are provided. In one exemplary process, the process includes loading a semiconductor substrate into a process chamber. First main process gases, including a silicon source gas, an oxygen gas, a nitrogen free chemical etching gas and a hydrogen gas, are then injected into the process chamber. Thus, a high-density plasma is generated over the semiconductor substrate, and the semiconductor substrate is heated to a temperature in the range of about 550° C. to about 700° C. by the high-density plasma. Thus, a silicon oxide layer is formed to completely fill a gap region without any voids or defects in the semiconductor substrate. In addition, the first main process gases can be replaced with second main process gases including a silicon source gas, an oxygen gas, a nitrogen free chemical etching gas, a hydrogen gas and a helium gas.
    Type: Grant
    Filed: November 26, 2003
    Date of Patent: September 19, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jai-Hyung Won, Young-Kyou Park
  • Patent number: 7109131
    Abstract: The present invention relates generally to semiconductor fabrication. More particularly, the present invention relates to system and method of selectively oxidizing one material with respect to another material formed on a semiconductor substrate. A hydrogen-rich oxidation system for performing the process are provided in which innovative safety features are included to avoid the dangers to personnel and equipment that are inherent in working with hydrogen-rich atmospheres.
    Type: Grant
    Filed: June 6, 2003
    Date of Patent: September 19, 2006
    Assignee: Aviza Technology, Inc.
    Inventors: Robert B. Herring, Cole Porter, Travis Dodwell, Ed Nazareno, Chris Ratliff, Anindita Chatterji
  • Patent number: 7105895
    Abstract: A method for producing an insulating or barrier layer (FIG. 1B), useful for semiconductor devices, comprises depositing a layer of silicon and at least one additional element on a silicon substrate whereby said deposited layer is substantially free of defects such that epitaxial silicon substantially free of defects can be deposited on said deposited layer. Alternatively, a monolayer of one or more elements, preferably comprising oxygen, is absorbed on a silicon substrate. A plurality of insulating layers sandwiched between epitaxial silicon forms a barrier composite. Semiconductor devices are disclosed which comprise said barrier composite.
    Type: Grant
    Filed: June 14, 2001
    Date of Patent: September 12, 2006
    Assignee: Nanodynamics, Inc.
    Inventors: Chia-Gee Wang, Raphael Tsu, John Clay Lofgren
  • Patent number: 7101815
    Abstract: A method for depositing highly conformal silicate glass layers via chemical vapor deposition through the reaction of TEOS and O3 is provided, comprising placing an in-process semiconductor wafer having multiple surface constituents in a plasma-enhanced chemical vapor deposition chamber.
    Type: Grant
    Filed: August 26, 2004
    Date of Patent: September 5, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Ravi Iyer
  • Patent number: 7098153
    Abstract: A gate insulating film made of silicon oxynitride is disposed on the partial surface area of a semiconductor substrate. A gate electrode is disposed on the gate insulating film. Source and drain regions are disposed on both sides of the gate electrode. An existence ratio of subject nitrogen atoms to a total number of nitrogen atoms in the gate insulating film is 20% or smaller, wherein three bonds of each subject nitrogen atom are all coupled to silicon atoms and remaining three bonds of each of three silicon atoms connected to the subject nitrogen atom are all coupled to other nitrogen atoms.
    Type: Grant
    Filed: September 16, 2003
    Date of Patent: August 29, 2006
    Assignee: Fujitsu Limited
    Inventors: Mitsuaki Hori, Naoyoshi Tamura, Mayumi Shigeno
  • Patent number: 7094710
    Abstract: The present invention provides a method for depositing nano-porous low dielectric constant films by reacting an oxidizable silicon containing compound or mixture comprising an oxidizable silicon component and an oxidizable non-silicon component having thermally liable groups with nitrous oxide, oxygen, ozone, or other source of reactive oxygen in gas-phase plasma-enhanced reaction. The deposited silicon oxide based film is annealed to form dispersed microscopic voids that remain in a nano-porous silicon oxide based film having a low-density structure. The nano-porous silicon oxide based films are useful for forming layers between metal lines with or without liner or cap layers. The nano-porous silicon oxide based films may also be used as an intermetal dielectric layer for fabricating dual damascene structures.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: August 22, 2006
    Assignee: Applied Materials
    Inventor: Robert P. Mandal
  • Patent number: 7087537
    Abstract: A method for fabricating a thin film oxide is provided. The method includes: forming a substrate; treating the substrate at temperatures equal to and less than 360° C. using a high density (HD) plasma source; and forming an M oxide layer overlying the substrate where M is an element selected from a group including elements chemically defined as a solid and having an oxidation state in a range of +2 to +5. In some aspects, the method uses an inductively coupled plasma (ICP) source. In some aspects the ICP source is used to plasma oxidize the substrate. In other aspects, HD plasma enhanced chemical vapor deposition is used to deposit the M oxide layer on the substrate. In some aspects of the method, M is silicon and a silicon layer and an oxide layer are incorporated into a TFT.
    Type: Grant
    Filed: March 15, 2004
    Date of Patent: August 8, 2006
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Pooran Chandra Joshi, Apostolos T. Voutsas
  • Patent number: 7084076
    Abstract: A method is provided for forming a silicon dioxide film using atomic layer deposition (ALD), wherein a halogen- or NCO-substituted siloxane is used as a Si source. The method includes feeding a substituted siloxane as a first reactant onto a substrate to form a chemisorbed layer of the first reactant, and thereafter feeding a compound consisting of oxygen and hydrogen as a second reactant onto the chemisorbed layer to form the desired silicon dioxide film.
    Type: Grant
    Filed: February 19, 2004
    Date of Patent: August 1, 2006
    Assignee: Samsung Electronics, Co., Ltd.
    Inventors: Jae-eun Park, Kang-soo Chu, Joo-won Lee, Jong-ho Yang
  • Patent number: 7084079
    Abstract: A method is described for forming a low-k dielectric film, in particular, a pre-metal dielectric (PMD) on a semiconductor wafer which has good gap-filling characteristics. The method uses a thermal sub-atmospheric CVD process that includes a carbon-containing organometallic precusor such as TMCTS or OMCTS, an ozone-containing gas, and a source of dopants for gettering alkali elements and for lowering the reflow temperature of the dielectric while attaining the desired low-k and gap-filling properties of the dielectric film. Phosphorous is a preferred dopant for gettering alkali elements such as sodium. Additional dopants for lowering the reflow temperature include, but are not limited to boron, germanium, arsenic, fluorine or combinations thereof.
    Type: Grant
    Filed: November 18, 2002
    Date of Patent: August 1, 2006
    Assignee: International Business Machines Corporation
    Inventors: Richard A. Conti, Daniel C. Edelstein, Gill Yong Lee
  • Patent number: 7084035
    Abstract: A method for forming three kinds of MOS transistors on a single semiconductor substrate, each provided with gate oxides different in thickness from each other, without detracting from the device characteristics.
    Type: Grant
    Filed: April 13, 2005
    Date of Patent: August 1, 2006
    Assignee: Ricoh Company, Ltd.
    Inventor: Naohiro Ueda
  • Patent number: 7078313
    Abstract: Recesses between gate layer stacks are filled with a first electrically insulating material. Cavities or voids are opened up during the removal of a portion of the first insulating material. These voids are filled during the application of a conductive layer and can then lead to short circuits. Inventively, a layer for closing up voids is produced before the conductive material is applied, as a result of growing a second electrically insulating material onto the surface of the remaining first insulating material. This second insulating layer closes up voids that have formed in the first insulating material so that they can no longer lead to short circuits. In particular, voids that are difficult to gain access to and open out into side walls of contact holes can in this way be closed up in a simple manner.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: July 18, 2006
    Assignee: Infineon Technologies AG
    Inventor: Markus Kirchhoff
  • Patent number: 7078354
    Abstract: After a first gate oxide film (302) is formed on a substrate (301), a nitride layer (303) is formed by a first oxynitriding process. The first gate oxide film is selectively removed from a thinner film part area of the substrate. A second gate oxide film forming process forms a second gate oxide film (305A) in the thinner film part area and a third gate oxide film (305B) in a thicker film part area. By executing second oxynitriding process, nitride layers (306A and 306B) are formed at the thinner and the thicker part areas.
    Type: Grant
    Filed: May 12, 2004
    Date of Patent: July 18, 2006
    Assignee: Elpida Memory, Inc.
    Inventor: Takayuki Kanda
  • Patent number: 7071538
    Abstract: A semiconductor device includes a substrate that further includes source, drain and channel regions. The device may further include a bottom oxide layer formed upon the substrate, a charge storage layer formed upon the bottom oxide layer, and a steam oxide layer thermally grown upon the charge storage layer. The device may also include an alumina oxide layer formed upon the steam oxide layer and a gate electrode formed upon the alumina oxide layer.
    Type: Grant
    Filed: December 10, 2004
    Date of Patent: July 4, 2006
    Assignee: Spansion,LLC
    Inventors: Hidehiko Shiraiwa, Harpreet K. Sachar, Mark Randolph, Wei Zheng