Silicon Oxide Formation Patents (Class 438/787)
  • Publication number: 20100261323
    Abstract: A method of forming a metal-oxide-semiconductor (MOS) transistor device is disclosed. A semiconductor substrate is prepared first, and the semiconductor substrate has agate structure, a source region and a drain region. Subsequently, a stress buffer layer is formed on the semiconductor substrate, and covers the gate structure, the source region and the drain region. Thereafter, a stressed cap layer is formed on the stress buffer layer, and a tensile stress value of the stressed cap layer is higher than a tensile stress value of the stress buffer layer. Since the stress buffer layer can prevent the stressed cap layer from breaking, the MOS transistor device can be covered by a stressed cap layer having an extremely high tensile stress value in the present invention.
    Type: Application
    Filed: June 21, 2010
    Publication date: October 14, 2010
    Inventors: Neng-Kuo Chen, Chien-Chung Huang
  • Publication number: 20100261355
    Abstract: A method for forming a high quality insulation layer on a semiconductor device is presented. The method includes a first step of supplying any one of a silicon source gas and an oxygen source gas into a process chamber in which a semiconductor substrate is placed; a second step of simultaneously supplying the silicon source gas and the oxygen source gas into the process chamber having undergone the first step and depositing a silicon oxide layer on the semiconductor substrate; and a third step of supplying any one of the silicon source gas and the oxygen source gas into the process chamber having undergone the second step.
    Type: Application
    Filed: June 29, 2009
    Publication date: October 14, 2010
    Inventors: Sang Tae AHN, Ja Chun KU, Seung Joon JEON
  • Patent number: 7803722
    Abstract: A method for forming a semiconductor structure includes reacting a silicon precursor and an atomic oxygen or nitrogen precursor at a processing temperature of about 150° C. or less to form a silicon oxide or silicon-nitrogen containing layer over a substrate. The silicon oxide or silicon-nitrogen containing layer is ultra-violet (UV) cured within an oxygen-containing environment.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: September 28, 2010
    Assignee: Applied Materials, Inc
    Inventor: Jingmei Liang
  • Patent number: 7803721
    Abstract: A semiconductor device includes a deposited-type insulating film disposed on a substrate; a coating-type insulating film disposed on a surface of the deposited-type insulating film and having a film density which is lower than a film density of the deposited-type insulating film; and an intermediate insulating film disposed between the deposited-type insulating film and the coating-type insulating film and having a film density which is intermediate between the film density of the deposited-type insulating film and the film density of the coating-type insulating film.
    Type: Grant
    Filed: March 14, 2007
    Date of Patent: September 28, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kazuaki Iwasawa
  • Patent number: 7799690
    Abstract: A method for fabricating a semiconductor integrated circuit device of the invention comprises feeding oxidation species containing a low concentration of water, which is generated from hydrogen and oxygen by the catalytic action, to the main surface of or in the vicinity of a semiconductor wafer, and forming a thin oxide film serving as a gate insulating film of an MOS transistor and having a thickness of 5 nm or below on the main surface of the semiconductor wafer at an oxide film-growing rate sufficient to ensure fidelity in formation of oxide film and uniformity in thickness of the oxide film.
    Type: Grant
    Filed: June 13, 2007
    Date of Patent: September 21, 2010
    Assignee: Renesas Electronics Corporation
    Inventors: Yoshikazu Tanabe, Satoshi Sakai, Nobuyoshi Natsuaki
  • Patent number: 7795158
    Abstract: In an oxidation method for a semiconductor process, target substrates are placed at intervals in a vertical direction within a process field of a process container. An oxidizing gas and a deoxidizing gas are supplied to the process field from one side of the process field while gas is exhausted from the other side. One or both of the oxidizing gas and the deoxidizing gas are activated. The oxidizing gas and the deoxidizing gas are caused to react with each other, thereby generating oxygen radicals and hydroxyl group radicals within the process field. An oxidation process is performed on the surfaces of the target substrate by use of the oxygen radicals and the hydroxyl group radicals.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Takehiko Fujita, Jun Ogawa, Shigeru Nakajima, Kazuhide Hasebe
  • Patent number: 7795061
    Abstract: MEMS devices (such as interferometric modulators) may be fabricated using a sacrificial layer that contains a heat vaporizable polymer to form a gap between a moveable layer and a substrate. One embodiment provides a method of making a MEMS device that includes depositing a polymer layer over a substrate, forming an electrically conductive layer over the polymer layer, and vaporizing at least a portion of the polymer layer to form a cavity between the substrate and the electrically conductive layer.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: September 14, 2010
    Assignee: Qualcomm MEMS Technologies, Inc.
    Inventors: Chun-Ming Wang, Jeffrey Lan, Teruo Sasagawa
  • Patent number: 7790632
    Abstract: This invention includes methods of forming a phosphorus doped silicon dioxide comprising layers, and methods of forming trench isolation in the fabrication of integrated circuitry. In one implementation, a method of forming a phosphorus doped silicon dioxide comprising layer includes positioning a substrate within a deposition chamber. First and second vapor phase reactants are introduced in alternate and temporally separated pulses to the substrate within the chamber in a plurality of deposition cycles under conditions effective to deposit a phosphorus doped silicon dioxide comprising layer on the substrate. One of the first and second vapor phase reactants is PO(OR)3 where R is hydrocarbyl, and an other of the first and second vapor phase reactants is Si(OR)3OH where R is hydrocarbyl.
    Type: Grant
    Filed: November 21, 2006
    Date of Patent: September 7, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Brian A. Vaartstra
  • Patent number: 7790626
    Abstract: The present invention relates to a technology for depositing a thin metal film by using a plasma sputtering technique on a top surface of a target object, e.g., a semiconductor wafer or the like, and on a surface of a recess opened at the top surface. The film deposition method is characterized in that a film deposition process to deposit a metal film on a sidewall of the recess by generating metal ions by way of making a metal target sputter with a plasma generated from a discharge gas in the processing container and by applying to the mounting table a bias power to cause a metal film deposition based on a metal ion attraction and a sputter etching based on the plasma generated from the discharge gas simultaneously on the top surface of the target object.
    Type: Grant
    Filed: October 18, 2005
    Date of Patent: September 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Taro Ikeda, Kenji Suzuki, Tatsuo Hatano, Yasushi Mizusawa
  • Publication number: 20100216307
    Abstract: A method for fabricating a semiconductor device comprises patterning a layer of photoresist material to form a plurality of mandrels. The method further comprises depositing an oxide material over the plurality of mandrels by an atomic layer deposition (ALD) process. The method further comprises anisotropically etching the oxide material from exposed horizontal surfaces. The method further comprises selectively etching photoresist material.
    Type: Application
    Filed: April 30, 2010
    Publication date: August 26, 2010
    Applicant: Micron Technology, Inc.
    Inventors: Ardavan Niroomand, Baosuo Zhou, Ramakanth Alapati
  • Patent number: 7777197
    Abstract: Methods and apparatus for electron beam treatment of a substrate are provided. An electron beam apparatus that includes a vacuum chamber, at least one thermocouple assembly in communication with the vacuum chamber, a heating device in communication with the vacuum chamber, and combinations thereof are provided. In one embodiment, the vacuum chamber comprises an electron source wherein the electron source comprises a cathode connected to a high voltage source, an anode connected to a low voltage source, and a substrate support. In another embodiment, the vacuum chamber comprises a grid located between the anode and the substrate support. In one embodiment the heating device comprises a first parallel light array and a second light array positioned such that the first parallel light array and the second light array intersect. In one embodiment the thermocouple assembly comprises a temperature sensor made of aluminum nitride.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: August 17, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Amir Al-Bayati, Lester A. D'Cruz, Alexandros T. Demos, Dale R. Dubois, Khaled A. Elsheref, Naoyuki Iwasaki, Hichem M'Saad, Juan Carlos Rocha-Alvarez, Ashish Shah, Takashi Shimizu
  • Patent number: 7776761
    Abstract: A method of fabricating a semiconductor device is provided. The method includes preparing a semiconductor substrate having first and second regions, forming a mask layer pattern on the second region, growing an oxidation retarding layer on the first region and removing the mask layer pattern. The method further includes growing a silicon oxide layer on the semiconductor substrate to form gate insulating layers having different thicknesses from one another on the first and second regions.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: August 17, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Chan-Sik Park
  • Patent number: 7772133
    Abstract: An oxide film forming equipment is provided with a reactor 10 in which a heater unit 14 holding a substrate 100 is stored, a piping 11 provided with a material gas introducing valve V1 for introducing a material gas containing organic silicon or organic metal into the reactor, a piping 12 provided with an ozone containing gas introducing valve V2 for introducing an ozone containing gas into the reactor 10, and a piping 13 provided with an exhaustion valve 13 for exhausting a gas in the reactor 10. When the material gas introducing valve V1, the ozone containing gas introducing valve V2, and the exhaustion valve V3 perform open-and-closure operations to alternately supply the material gas and the ozone containing gas into the reactor 10, the ozone containing gas introducing valve V2 operates to fall an ozone concentration of the ozone containing gas in a range from 0.1 vol % to 100 vol % and the heater unit adjusts a temperature of the substrate from a room temperature to 400° C.
    Type: Grant
    Filed: August 1, 2005
    Date of Patent: August 10, 2010
    Assignee: Meidensha Corporation
    Inventors: Tetsuya Nishiguchi, Shingo Ichimura, Hidehiko Nonaka, Yoshiki Morikawa, Takeshi Noyori, Mitsuru Kekura
  • Publication number: 20100197102
    Abstract: A film deposition method includes the steps of: coating a solution containing a polysilane compound on a substrate to form a coating film and then carrying out a first thermal treatment in an inert atmosphere, thereby forming the coating film into a silicon film; forming a coating film containing a polysilane compound on the silicon film and then carrying out a second thermal treatment in an inert atmosphere or a reducing atmosphere, thereby forming the coating film into a silicon oxide precursor film; and carrying out a third thermal treatment in an oxidizing atmosphere, thereby forming the silicon oxide precursor film into a silicon oxide film and simultaneously densifying the silicon film.
    Type: Application
    Filed: January 27, 2010
    Publication date: August 5, 2010
    Applicant: SONY CORPORATION
    Inventors: Hirotaka Akao, Yuriko Kaino, Takahiro Kamei, Masaki Hara, Kenichi Kurihara
  • Patent number: 7767594
    Abstract: Disclosed is a producing method of a semiconductor device, including: loading at least one substrate formed on a surface thereof with a tungsten film into a processing chamber; and forming a silicon oxide film on the surface of the substrate which includes the tungsten film by alternately repeating following steps a plurality of times: supplying the processing chamber with a first reaction material including a silicon atom while heating the substrate at 400° C.; and supplying the processing chamber with hydrogen and water which is a second reaction material while heating the substrate at 400° C. at a ratio of the water with respect to the hydrogen of 2×10?1 or lower.
    Type: Grant
    Filed: January 17, 2007
    Date of Patent: August 3, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Hironobu Miya, Masayuki Asai, Norikazu Mizuno
  • Patent number: 7767590
    Abstract: A semiconductor device including a gate stack located over a substrate and a spacer located over the substrate and adjacent the gate stack. The spacer includes a plurality of layers, wherein at least one of the plurality of layers is a batch layer and at least one of the plurality of layers is a non-batch layer.
    Type: Grant
    Filed: July 19, 2006
    Date of Patent: August 3, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen Ming Chen, Lin Jun Wu
  • Patent number: 7759263
    Abstract: Disclosed are a variety of methods for increasing the relative thickness in the peripheral or edge regions of gate dielectric patterns to suppress leakage through these regions. The methods provide alternatives to conventional GPOX processes and provide the improved leakage resistance without incurring the degree of increased gate electrode resistance associated with GPOX processes. Each of the methods includes forming a first opening to expose an active area region, forming an oxidation control region on the exposed portion and then forming a second opening whereby a peripheral region free of the oxidation control region is exposed for formation of a gate dielectric layer. The resulting gate dielectric layers are characterized by a thinner central region surrounded or bounded by a thicker peripheral region.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woong-Hee Sohn, Gil-Heyun Choi, Byung-Hee Kim, Byung-Hak Lee, Tae-Ho Cha, Hee-Sook Park, Jae-Hwa Park, Geum-Jung Seong
  • Patent number: 7749911
    Abstract: A T-shaped gate structure and method for forming the same the method including providing a semiconductor substrate comprising at least one overlying sacrificial layer; lithographically patterning a resist layer overlying the at least one sacrificial layer for etching an opening; forming the etched opening through a thickness of the at least one sacrificial layer to expose the semiconductor substrate, said etched opening comprising a tapered cross section having a wider upper portion compared to a bottom portion; and, backfilling the etched opening with a gate electrode material to form a gate structure.
    Type: Grant
    Filed: November 30, 2004
    Date of Patent: July 6, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chung-Cheng Wu, Wen-Ting Chu
  • Publication number: 20100167554
    Abstract: In a method of forming a target layer having a uniform composition of constituent materials, a first precursor including a first central atom and a ligand is chemisorbed on a first reaction site of an object. The ligand or the first central atom is then removed to form a second reaction site. A second precursor including a second central atom is then chemisorbed on the second reaction site.
    Type: Application
    Filed: December 29, 2009
    Publication date: July 1, 2010
    Applicant: Samsung Electronics Co., Ltd.
    Inventors: Jong-Cheol LEE, Ki-Yeon PARK, Jun-Noh LEE
  • Publication number: 20100159711
    Abstract: Methods of depositing silicon oxide layers on substrates involve flowing a silicon-containing precursor, an oxidizing gas, water and an additive precursor into a processing chamber such that a uniform silicon oxide growth rate is achieved across the substrate surface. The surface of silicon oxide layers grown according to embodiments may have a reduced roughness when grown with the additive precursor. In other aspects of the disclosure, silicon oxide layers are deposited on a patterned substrate with trenches on the surface by flowing a silicon-containing precursor, an oxidizing gas, water and an additive precursor into a processing chamber such that the trenches are filled with a reduced quantity and/or size of voids within the silicon oxide filler material.
    Type: Application
    Filed: June 22, 2009
    Publication date: June 24, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Shankar Venkataraman, Hiroshi Hamana, Manuel A. Hernandez, Nitin K. Ingle, Paul Edward Gee
  • Publication number: 20100155815
    Abstract: A method of manufacturing a memory cell 200. The method comprises forming a memory stack 215. Forming the memory stack includes pre-treating an insulating layer 210 in a substantially ammonia atmosphere for a period of more than 5 minutes to thereby form a pre-treated insulating layer 310. Forming the memory stack also includes depositing a silicon nitride layer on the pre-treated insulating layer.
    Type: Application
    Filed: December 23, 2008
    Publication date: June 24, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Bernard John Fischer
  • Patent number: 7737052
    Abstract: A dielectric cap, interconnect structure containing the same and related methods are disclosed. The inventive dielectric cap includes a multilayered dielectric material stack wherein at least one layer of the stack has good oxidation resistance, Cu diffusion and/or substantially higher mechanical stability during a post-deposition curing treatment, and including Si—N bonds at the interface of a conductive material such as, for example, Cu. The dielectric cap exhibits a high compressive stress and high modulus and is still remain compressive stress under post-deposition curing treatments for, for example: copper low k back-end-of-line (BEOL) nanoelectronic devices, leading to less film and device cracking and improved reliability.
    Type: Grant
    Filed: March 5, 2008
    Date of Patent: June 15, 2010
    Assignees: International Business Machines Corporation, Advanced Micro Devices, Inc., Applied Materials, Inc.
    Inventors: Ritwik Bhatia, Griselda Bonilla, Alfred Grill, Joshua L. Herman, Son Van Nguyen, E. Todd Ryan, Hosadurga Shobha
  • Patent number: 7727783
    Abstract: A method of measuring a diffusion length of a minority carrier in a silicon wafer by a surface photovoltage method including irradiating the surface-treated silicon wafer with ultraviolet radiation in an oxygen-containing atmosphere, and measuring a diffusion length of a minority carrier in a silicon wafer by a surface photovoltage method.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: June 1, 2010
    Assignee: Sumco Corporation
    Inventor: Tsuyoshi Kubota
  • Patent number: 7723233
    Abstract: A method is proposed for the fabrication of the gate electrode of a semiconductor device such that the effects of gate depletion are minimized. The method is comprised of a dual deposition process wherein the first step is a very thin layer that is doped very heavily by ion implantation. The second deposition, with an associated ion implant for doping, completes the gate electrode. With the two-deposition process, it is possible to maximize the doping at the gate electrode/gate dielectric interface while minimizing risk of boron penetration of the gate dielectric. A further development of this method includes the patterning of both gate electrode layers with the advantage of utilizing the drain extension and source/drain implants as the gate doping implants and the option of offsetting the two patterns to create an asymmetric device.
    Type: Grant
    Filed: June 18, 2003
    Date of Patent: May 25, 2010
    Assignee: Semequip, Inc.
    Inventors: Wade A Krull, Dale C. Jacobson
  • Patent number: 7713883
    Abstract: An object of this invention is to make it possible to suppress early-stage oxidation of a substrate surface prior to oxidation processing, and to remove a natural oxidation film. For this reason, a method is provided comprising the steps of loading a substrate into a processing chamber, supplying a hydrogen-containing gas and an oxygen-containing gas into the processing chamber, and subjecting a surface of the substrate to oxidation processing, and unloading the substrate subjected to oxidation processing from the processing chamber. In the oxidation processing step, the hydrogen-containing gas is introduced in advance into the processing chamber, with the pressure inside the processing chamber set at a pressure that is less than atmospheric pressure, and the oxygen-containing gas is then introduced in the state in which the introduction of the hydrogen-containing gas is continued.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: May 11, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuhiro Yuasa, Yasuhiro Megawa
  • Patent number: 7713887
    Abstract: A method for forming an isolation layer in a semiconductor device includes forming a trench in a semiconductor substrate, forming a first liner nitride layer on an exposed surface of the trench, forming a first high density plasma (HDP) oxide layer such that the first HDP oxide layer partially fills the trench to cover a bottom surface and a side surface of the trench and an upper surface of the first liner nitride layer, etching overhangs generated during the forming of the first HDP oxide layer by introducing a hydrofluoric acid (HF) solution into the semiconductor substrate, forming a second liner nitride layer over the first HDP oxide layer, removing the second liner nitride layer formed on the first HDP oxide layer while forming a second HDP oxide layer to fill the trench, and subjecting the second HDP oxide layer to planarization, so as to form a trench isolation layer.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: May 11, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Eun
  • Patent number: 7709403
    Abstract: A gate insulating film which is an oxide layer mainly made of SiO2 is formed over a silicon carbide substrate by thermal oxidation, and then, a resultant structure is annealed in an inert gas atmosphere in a chamber. Thereafter, the silicon carbide-oxide layered structure is placed in a chamber which has a vacuum pump and exposed to a reduced pressure NO gas atmosphere at a high temperature higher than 1100° C. and lower than 1250° C., whereby nitrogen is diffused in the gate insulating film. As a result, a gate insulating film which is a V-group element containing oxide layer, the lower part of which includes a high nitrogen concentration region, and the relative dielectric constant of which is 3.0 or higher, is obtained. The interface state density of an interface region between the V-group element containing oxide layer and the silicon carbide layer decreases.
    Type: Grant
    Filed: October 4, 2004
    Date of Patent: May 4, 2010
    Assignee: Panasonic Corporation
    Inventors: Kenya Yamashita, Makoto Kitabatake, Osamu Kusumoto, Kunimasa Takahashi, Masao Uchida, Ryoko Miyanaga
  • Patent number: 7709398
    Abstract: The invention relates to a method and device for depositing at least one layer, particularly a semiconductor layer, onto at least one substrate, which is situated inside a process chamber of a reactor while being supported by a substrate holder. The layer is comprised of at least two material components provided in a fixed stoichiometric ratio, which are each introduced into the reactor in the form of a first and a second reaction gas, and a portion of the decomposition products form the layer, whereby the supply of the first reaction gas, which has a low thermal activation energy, determines the growth rate of the layer, and the second reaction gas, which has a high thermal activation energy, is supplied in excess and is preconditioned, in particular, by an independent supply of energy. The first reaction gas flows in a direction toward the substrate holder through a multitude of openings, which are distributed over a surface of a gas inlet element, said surface being located opposite the substrate holder.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: May 4, 2010
    Assignee: Aixtron AG
    Inventors: Gerhard Karl Strauch, Johannes Kaeppeler, Markus Reinhold, Bernd Schulte
  • Publication number: 20100105192
    Abstract: A method of manufacturing a semiconductor device includes: forming an oxide film having a predetermined film thickness on a substrate by repeating a process of forming a predetermined element-containing layer on the substrate by supplying source gas containing a predetermined element into a process vessel accommodating the substrate, and a process of changing the predetermined element-containing layer to an oxide layer by supplying oxygen-containing gas and hydrogen-containing gas into the process vessel that is set below atmospheric pressure, wherein the oxygen-containing gas is oxygen gas or ozone gas, the hydrogen-containing gas is hydrogen gas or deuterium gas, and the temperature of the substrate is in a range from 400° C. or more to 700° C. or less in the process of forming the oxide film.
    Type: Application
    Filed: October 28, 2009
    Publication date: April 29, 2010
    Inventors: Naonori Akae, Yoshiro Hirose, Yushin Takasawa, Yosuke Ota
  • Publication number: 20100096688
    Abstract: A flash memory device and method of forming a flash memory device are provided. The flash memory device includes a silicon nitride layer having a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness of the layer. The silicon nitride layer having a compositional gradient of silicon and nitrogen provides an increase in charge holding capacity and charge retention time of the unit cell of a non-volatile memory device.
    Type: Application
    Filed: October 22, 2008
    Publication date: April 22, 2010
    Inventors: Mihaela Balseanu, Vladimir Zubkov, Li-Qun Xia, Atif Noori, Reza Arghavani, Derek R. Witty, Amir Al-Bayati
  • Publication number: 20100093179
    Abstract: A pattern forming method includes preparing a target object including silicon with an initial pattern formed thereon and having a first line width; performing a plasma oxidation process on the silicon surface inside a process chamber of a plasma processing apparatus and thereby forming a silicon oxide film on a surface of the initial pattern; and removing the silicon oxide film. The pattern forming method is arranged to repeatedly perform formation of the silicon oxide film and removal of the silicon oxide film so as to form an objective pattern having a second line width finer than the first line width on the target object.
    Type: Application
    Filed: December 20, 2007
    Publication date: April 15, 2010
    Applicants: National University Corporation Nagoya University, TOKYO ELECTRON LIMITED
    Inventors: Masaru Hori, Yoshiro Kabe, Toshihiko Shiozawa, Junichi Kitagawa
  • Patent number: 7695765
    Abstract: Methods of preparing a carbon doped oxide (CDO) layer with a low dielectric constant (<3.2) and low residual stress without sacrificing important integration properties such as refractive index and etch rate are provided. The methods involve, for instance, providing a substrate to a deposition chamber and exposing it to TMSA, followed by igniting and maintaining a plasma in a deposition chamber using radio frequency power having high and low frequency components or one frequency component only, and depositing the carbon doped oxide film under conditions in which the resulting dielectric layer has a net tensile stress of less than about 40 MPa, a hardness of at least about 1 GPa, and a SiC:SiOx bond ratio of not greater than about 0.75.
    Type: Grant
    Filed: November 12, 2004
    Date of Patent: April 13, 2010
    Assignee: Novellus Systems, Inc.
    Inventors: Keith Fox, Carole Mars, Willis Kirkpatrick, Easwar Srinivasan
  • Patent number: 7682990
    Abstract: Conventionally, a MONOS type nonvolatile memory is fabricated by subjecting a silicon nitride film to ISSG oxidation to form a top silicon oxide film of ONO structure. If the ISSG oxidation conditions are severe, repeats of programming/erase operation cause increase of interface state density (Dit) and electron trap density. This does not provide a sufficient value of the on current, posing a problem in that the deterioration of charge trapping properties cannot be suppressed. For the solution to the problem, the silicon nitride film is oxidized by means of a high concentration ozone gas to form the top silicon oxide film.
    Type: Grant
    Filed: June 6, 2005
    Date of Patent: March 23, 2010
    Assignee: Renesas Technology Corp.
    Inventors: Hirotaka Hamamura, Toshiyuki Mine, Natsuki Yokoyama
  • Patent number: 7682989
    Abstract: In accordance with the present teachings, semiconductor devices and methods of making semiconductor devices and dielectric stack in an integrated circuit are provided. The method of forming a dielectric stack in an integrated circuit can include providing a semiconductor structure including one or more copper interconnects and forming an etch stop layer over the semiconductor structure in a first processing chamber. The method can also include forming a thin silicon oxide layer over the etch stop layer in the first processing chamber and forming an ultra low-k dielectric layer over the thin silicon oxide layer in a second processing chamber, wherein forming the thin silicon oxide layer improves adhesion between the etch stop layer and the ultra low-k dielectric as compared to a dielectric stack that is devoid of the thin silicon oxide layer between the etch stop layer and the ultra low-k dielectric.
    Type: Grant
    Filed: May 18, 2007
    Date of Patent: March 23, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Laura M. Matz, Ting Y. Tsui, Thad E. Briggs, Robert Kraft
  • Patent number: 7682927
    Abstract: A method for manufacturing a semiconductor device includes coating a solution containing a perhydrosilazane polymer on a substrate, heating the solution to form a film containing the perhydrosilazane polymer, and oxidizing the film in a water vapor atmosphere at a reduced pressure to convert the film into an insulating film containing silicon and oxygen.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: March 23, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Hoshi, Masahiro Kiyotoshi, Atsuko Kawasaki
  • Patent number: 7678712
    Abstract: The invention concerns a method for applying a surface modification agent composition for organosilicate glass dielectric films. More particularly, the invention pertains to a method for treating a silicate or organosilicate dielectric film on a substrate, which film either comprises silanol moieties or has had at least some previously present carbon containing moieties removed therefrom. The treatment adds carbon containing moieties to the film and/or seals surface pores of the film, when the film is porous.
    Type: Grant
    Filed: March 22, 2005
    Date of Patent: March 16, 2010
    Assignee: Honeywell International, Inc.
    Inventors: Anil S. Bhanap, Robert R. Roth, Kikue S. Burnham, Brian J. Daniels, Denis H. Endisch, Ilan Golecki
  • Patent number: 7674727
    Abstract: A method of filling a gap defined by adjacent raised features on a substrate includes providing a flow of a silicon-containing processing gas to a chamber housing the substrate and providing a flow of an oxidizing gas to the chamber. The method also includes varying over time a ratio of the (silicon-containing processing gas):(oxidizing gas). The method also includes exposing the substrate to nitrous oxide at a temperature less than about 900° C. to anneal the deposited film.
    Type: Grant
    Filed: October 16, 2006
    Date of Patent: March 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Zheng Yuan, Reza Arghavani, Shankar Venkataraman
  • Patent number: 7670963
    Abstract: A method for fabricating a nonvolatile charge trap memory device is described. The method includes first forming a tunnel dielectric layer on a substrate in a first process chamber of a single-wafer cluster tool. A charge-trapping layer is then formed on the tunnel dielectric layer in a second process chamber of the single-wafer cluster tool. A top dielectric layer is then formed on the charge-trapping layer in the second or in a third process chamber of the single-wafer cluster tool.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: March 2, 2010
    Assignee: Cypress Semiconductor Corportion
    Inventors: Krishnaswamy Ramkumar, Sagy Levy
  • Patent number: 7662683
    Abstract: Provided is a method for forming a gate dielectric layer, in which a plasma oxide layer is finely formed by plasma at a temperature of 200° C. or below, and an atomic layer deposition (ALD) oxide layer is deposited. Further, the gate dielectric layer according to the present invention can be applied to a display device comprising a substrate such as a plastic substrate vulnerable to heat, have good interfacial characteristic, and allow a high dielectric layer to be applied thereto.
    Type: Grant
    Filed: August 3, 2004
    Date of Patent: February 16, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Jung Wook Lim, Sun Jin Yun, Jin Ho Lee
  • Patent number: 7659206
    Abstract: A method of treating a substrate comprises depositing silicon oxycarbide on the substrate and removing the silicon oxycarbide from the substrate. The silicon oxycarbide on the substrate is decarbonized by exposure to an energized oxygen-containing gas that heats the substrate and converts the layer of silicon oxycarbide into a layer of silicon oxide. The silicon oxide is removed by exposure to a plasma of fluorine-containing process gas. Alternatively, the remaining silicon oxide can be removed by a fluorine-containing acidic bath. In yet another version, a plasma of a fluorine-containing gas and an oxygen-containing gas is energized to remove the silicon oxycarbide from the substrate.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: February 9, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Krishna Vepa, Yashraj Bhatnagar, Ronald Rayandayan, Venkata Balagani
  • Patent number: 7652354
    Abstract: Disclosed is a semiconductor device and a method of manufacturing a semiconductor device. A semiconductor device may include an insulating layer and a metal interconnection. An insulating layer may include a first layer including fluorine and a second layer including SRO (silicon rich oxide) having a dangling bond. A metal interconnection may be formed over the insulating layer.
    Type: Grant
    Filed: November 1, 2006
    Date of Patent: January 26, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Tae Young Lee
  • Patent number: 7651960
    Abstract: Preventing a chemical vapor deposition (CVD) chamber from particle contamination in which a higher low-frequency radio frequency (LFRF) power and longer process time are provided to vacate the chamber and perform a pre-heat process. Following that, a pre-oxide layer is formed on the chamber wall, while a high-frequency radio frequency bias is provided to the chamber. The high-power LFRF is continuously provided to the chamber to sustain the temperature of the chamber, and then a main oxide layer deposition process is performed. The method is able to form an oxide layer of better quality on a CVD chamber wall, so as to solve the particle problem in the prior art. Therefore, yield is improved and the maintenance cost is reduced.
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: January 26, 2010
    Assignee: United Microelectronics Corp.
    Inventors: Neng-Kuo Chen, Teng-Chun Tsai, Hsiu-Lien Liao
  • Patent number: 7651956
    Abstract: A process for forming a thin layer exhibiting a substantially uniform property on an active surface of a semiconductor substrate. The process includes varying the temperature within a reaction chamber while a layer of a material is formed upon the semiconductor substrate. Varying the temperature within the reaction chamber facilitates temperature uniformity across the semiconductor wafer. As a result, a layer forming reaction occurs at a substantially consistent rate over the entire active surface of the semiconductor substrate. The process may also include oscillating the temperature within the reaction chamber while a layer of a material is being formed upon a semiconductor substrate.
    Type: Grant
    Filed: December 6, 2000
    Date of Patent: January 26, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Garry Anthony Mercaldi, Don Carl Powell
  • Patent number: 7645711
    Abstract: According to the present invention, there is provided a semiconductor device fabrication method comprising: forming a first insulating film on a semiconductor substrate; forming a conductive layer on the first insulating film; exposing the first insulating film by removing a portion of the conductive layer; forming a second insulating film on the exposed surface of the first insulating film in a first processing chamber isolated from an outside; performing a modification process on the second insulating film in the first processing chamber, and then unloading the semiconductor substrate from the first processing chamber to the outside; and annealing the second insulating film in a second processing chamber.
    Type: Grant
    Filed: April 15, 2005
    Date of Patent: January 12, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Isao Kamioka, Yoshio Ozawa
  • Publication number: 20090325391
    Abstract: Methods for depositing silicon oxide in a batch reactor are provided. In some embodiments, a plurality of vertically separated substrates is provided in a reaction chamber. Tetraethyl orthosilicate (TEOS) is pulsed into the reaction chamber by direct liquid injection. Ozone is flowed into the reaction chamber simultaneously or alternately with the TEOS. The deposition is performed at about 10 Torr or less to extend the mean free path length of the ozone molecules. According to some embodiments, the deposition allows openings in the substrates to be filled while the occurrence of voids is maintained at a low level.
    Type: Application
    Filed: June 30, 2008
    Publication date: December 31, 2009
    Applicant: ASM INTERNATIONAL NV
    Inventors: Stijn DE VUSSER, Pamela R. Fischer, Lieve Vandezande
  • Publication number: 20090317982
    Abstract: An atomic layer deposition apparatus comprises a reaction chamber, a heater configured to heat a semiconductor wafer positioned on the heater, an oxidant supply configured to deliver oxidant-containing precursors having different oxidant concentrations to the reaction chamber, and a metal supply configured to deliver a metal-containing precursor to the reaction chamber. The present application also discloses a method for preparing a dielectric structure comprising the steps of placing a substrate in a reaction chamber, performing a first atomic layer deposition process including feeding an oxidant-containing precursor having a relatively lower oxidant concentration and a metal-containing precursor to form an thinner interfacial layer on the substrate, and performing a second atomic layer deposition process including feeding the oxidant-containing precursor having an oxidant concentration higher than that used to grow the first metal oxide layer and the metal-containing precursor into the reaction chamber.
    Type: Application
    Filed: June 19, 2008
    Publication date: December 24, 2009
    Applicant: PROMOS TECHNOLOGIES INC.
    Inventors: Ming Yen Li, Hsiao Che Wu, De Long Chen, Wen Li Tsai
  • Patent number: 7635651
    Abstract: A method of smoothening a dielectric layer. First, a substrate is provided. Next, a dielectric layer is formed on the semiconductor substrate. Finally, the dielectric layer is smoothened by a plasma treatment employing a silane based gas and a nitrogen based gas.
    Type: Grant
    Filed: August 23, 2005
    Date of Patent: December 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wen-Long Lee, Jun Wu, Shih-Chi Lin, Chyi-Tsong Ni
  • Patent number: 7635652
    Abstract: A mask with hydrophobic surface. The mask includes a substrate, a plurality of patterns formed on the substrate, and a self-assembled monolayer (SAM) formed on the substrate exposed by the patterns. The self-assembled monolayer includes an alkyltrichlorosilane-based layer such as octadecyltrichlorosilane (OTS) or perfluorodecyltrichlorosilane (FDTS) and formed by vapor process or solution process.
    Type: Grant
    Filed: March 13, 2007
    Date of Patent: December 22, 2009
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventor: Chih-Wing Chang
  • Patent number: 7632757
    Abstract: A silicon oxynitride film is formed on a target substrate by CVD, in a process field configured to be selectively supplied with a first process gas containing a chlorosilane family gas, a second process gas containing an oxidizing gas, and a third process gas containing a nitriding gas. This method alternately includes first to sixth steps. The first, third, and fifth steps perform supply of the first, second, and third process gases, respectively, while stopping supply of the other two process gases. Each of the second, fourth, and sixth steps stops supply of the first to third process gases. The third and fifth steps include an excitation period of supplying the second and third process gases, respectively, to the process field while exciting the respective process gases by an exciting mechanism.
    Type: Grant
    Filed: July 3, 2006
    Date of Patent: December 15, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Hiroyuki Matsuura
  • Patent number: 7622369
    Abstract: A method of forming device isolation regions on a trench-formed silicon substrate and removing residual carbon therefrom includes providing a flowable, insulative material constituted by silicon, carbon, nitrogen, hydrogen, oxygen or any combination of two or more thereof; forming a thin insulative layer, by using the flowable, insulative material, in a trench located on a semiconductor substrate wherein the flowable, insulative material forms a conformal coating in a silicon and nitrogen rich condition whereas in a carbon rich condition, the flowable, insulative material vertically grows from the bottom of the trenches; and removing the residual carbon deposits from the flowable, insulative material by multi-step curing, such as O2 thermal annealing, ozone UV curing followed by N2 thermal annealing.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: November 24, 2009
    Assignee: ASM Japan K.K.
    Inventors: Woo Jin Lee, Atsuki Fukazawa, Nobuo Matsuki