Utilizing Electromagnetic Or Wave Energy (e.g., Photo-induced Deposition, Plasma, Etc.) Patents (Class 438/792)
  • Patent number: 7585704
    Abstract: A method for increasing the level of stress for amorphous thin film stressors by means of modifying the internal structure of such stressors is provided. The method includes first forming a first portion of an amorphous film stressor material on at least a surface of a substrate, said first portion having a first state of mechanical strain defining a first stress value. After the forming step, the first portion of the amorphous film stressor material is densified such that the first state of mechanical strain is not substantially altered, while increasing the first stress value. In some embodiments, the steps of forming and densifying are repeated any number of times to obtain a preselected and desired thickness for the stressor.
    Type: Grant
    Filed: April 1, 2005
    Date of Patent: September 8, 2009
    Assignee: International Business Machines Corporation
    Inventors: Michael P. Belyansky, Oleg Gluschenkov, Ying Li, Anupama Mallikarjunan
  • Publication number: 20090218629
    Abstract: In a dual stress liner approach, an intermediate etch stop material may be provided on the basis of a plasma-assisted oxidation process rather than by deposition so the corresponding thickness of the etch stop material may be reduced. Consequently, the resulting aspect ratio may be less pronounced compared to conventional strategies, thereby reducing deposition-related irregularities which may translate into a significant reduction of yield loss, in particular for highly scaled semiconductor devices.
    Type: Application
    Filed: October 29, 2008
    Publication date: September 3, 2009
    Inventors: Karsten Wieczorek, Manfred Horstmann, Peter Huebler, Kerstin Ruttloff
  • Publication number: 20090203228
    Abstract: A plasma processing apparatus includes a process chamber configured to be vacuum-exhausted; a worktable configured to place a target substrate thereon inside the process chamber; a microwave generation source configured to generate microwaves; a planar antenna including a plurality of slots and configured to supply microwaves generated by the microwave generation source through the slots into the process chamber; a gas supply mechanism configured to supply a film formation source gas into the process chamber; and an RF power supply configured to apply an RF power to the worktable. The apparatus is preset to turn a nitrogen-containing gas and a silicon-containing gas supplied in the process chamber into plasma by the microwaves, and to deposit a silicon nitride film on a surface of the target substrate by use of the plasma, while applying the RF power to the worktable.
    Type: Application
    Filed: March 30, 2007
    Publication date: August 13, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masayuki Kohno, Tatsuo Nishita, Toshio Nakanishi
  • Publication number: 20090197376
    Abstract: A plasma processing apparatus generates plasma by introducing microwaves into a processing chamber by using a planar antenna having a plurality of slots. By using the plasma processing apparatus, a nitrogen containing gas and a silicon containing gas introduced into the processing chamber are brought into the plasma state, and at the time of depositing by using the plasma a silicon nitride film on the surface of the a substrate to be processed, stress to the silicon nitride film to be formed is controlled by the combination of the type and the processing pressure of the nitrogen containing gas.
    Type: Application
    Filed: May 30, 2007
    Publication date: August 6, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masayuki Kohno, Tatsuo Nishita, Toshio Nakanishi
  • Publication number: 20090191722
    Abstract: A film formation method is used for forming a silicon nitride film on a target substrate by repeating a plasma cycle and a non-plasma cycle a plurality of times, in a process field configured to be selectively supplied with a first process gas containing a silane family gas and a second process gas containing a nitriding gas and communicating with an exciting mechanism for exciting the second process gas to be supplied. The method includes obtaining a relation formula or relation table that represents relationship of a cycle mixture manner of the plasma cycle and the non-plasma cycle relative to a film quality factor of the silicon nitride film; determining a specific manner of the cycle mixture manner based on a target value of the film quality factor with reference to the relation formula or relation table; and arranging the film formation process in accordance with the specific manner.
    Type: Application
    Filed: January 14, 2009
    Publication date: July 30, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuhide Hasebe, Nobutake Nodera, Masanobu Matsunaga, Jun Satoh, Pao-Hwa Chou
  • Patent number: 7563731
    Abstract: By increasing the transistor topography after forming a first layer of highly stressed dielectric material, additional stressed material may be added, thereby efficiently increasing the entire layer thickness of the stressed dielectric material. The corresponding increase of device topography may be accomplished on the basis of respective placeholder structures or dummy gates, wherein well-established gate patterning processes may be used or wherein nano-imprint techniques may be employed. Hence, in some illustrative embodiments, a significant increase of strain may be obtained on the basis of well-established process techniques.
    Type: Grant
    Filed: April 24, 2007
    Date of Patent: July 21, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Christoph Schwan, Manfred Horstmann, Kai Frohberg, Rolf Stephan
  • Publication number: 20090176380
    Abstract: Provided are a plasma treatment method and a plasma treatment device capable of forming a silicon nitride film having high compressive stress. In the plasma treatment method for depositing the silicon nitride film on a process target substrate by use of plasma of raw material gas containing silicon and hydrogen and of nitrogen gas, ion energy for disconnecting nitrogen-hydrogen bonding representing a state of bonding between the hydrogen in the raw material gas and the nitrogen gas is applied to the process target substrate so as to reduce an amount of nitrogen-hydrogen bonding contained in the silicon nitride film.
    Type: Application
    Filed: July 24, 2007
    Publication date: July 9, 2009
    Applicant: MITSUBISHI HEAVY INDUSTRIES, LTD.
    Inventors: Tadashi Shimazu, Masahiko Inoue, Toshihiko Nishimori, Yuichi Kawano
  • Publication number: 20090163041
    Abstract: The present invention pertains to methods of depositing low wet etch rate silicon nitride films on substrates using high-density plasma chemical vapor deposition techniques at substrate temperatures below 600° C. The method additionally involves the maintenance of a relatively high ratio of nitrogen to silicon in the plasma and a low process pressure.
    Type: Application
    Filed: December 21, 2007
    Publication date: June 25, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Hemant P. Mungekar, Jing Wu, Young S. Lee, Anchuan Wang
  • Patent number: 7550397
    Abstract: Embodiments relate to a semiconductor device and a method of manufacturing a semiconductor device having a pre-metal dielectric liner. In embodiments, method for forming a semiconductor device may include forming a pre-metal dielectric liner, which has a multi-layer structure including a plurality of interfacial surfaces, on an entire surface of a semiconductor substrate formed with a transistor, and forming a boron phospho silicate glass (BPSG) oxide layer on the pre-metal dielectric liner. Since the pre-metal dielectric liner is formed in a multi-layer structure having a plurality of interfacial surfaces, boron (B) of an upper BPSG oxide layer is not penetrated into the semiconductor substrate.
    Type: Grant
    Filed: December 27, 2006
    Date of Patent: June 23, 2009
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sung Kyung Jung
  • Publication number: 20090152639
    Abstract: Integrated circuits (ICs) commonly contain pre-metal dielectric (PMD) liners with compressive stress to increase electron and hole mobilities in MOS transistors. The increase is limited by the thickness of the PMD liner. The instant invention is a multi-layered PMD liner in an integrated circuit which has a higher stress than single layer PMD liners. Each layer in the inventive PMD liner is exposed to a nitrogen-containing plasma, and which has a compressive stress higher than 1300 MPa. The PMD liner of the instant invention is composed of 3 to 10 layers. The hydrogen content of the first layer may be increased to improve transistor properties such as flicker noise and Negative Bias Temperature Instabilty (NBTI). An IC containing the inventive PMD liner and a method for forming same are also claimed.
    Type: Application
    Filed: December 18, 2007
    Publication date: June 18, 2009
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Haowen Bu, Jerry Che-Jen Hu, Rajesh Khamankar
  • Publication number: 20090146264
    Abstract: The present invention generally comprises a low cost TFT and a method of manufacturing a TFT. For TFTs, soda lime glass would be an attractive alternative to non-alkali glass, but a soda lime glass substrate will permit sodium to diffuse into the active layer and degrade the performance of the TFT. Substrates comprising a polyimide, because they are flexible, would also be attractive to utilize instead of non-alkali glass substrates, but the plastic substrates permit carbon to diffuse into the active layer. By depositing a silicon rich barrier layer over the soda lime glass substrate or substrate comprising a polyimide, both sodium and carbon diffusion may be reduced. Thus, a lower cost TFT may be produced with a soda lime glass substrate or a substrate comprising a polyimide as compared to a non-alkali glass substrate.
    Type: Application
    Filed: November 25, 2008
    Publication date: June 11, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: YA-TANG YANG, BEOM SOO PARK, TAE K. WON, SOO YOUNG CHOI, JOHN M. WHITE
  • Publication number: 20090142935
    Abstract: A method of forming a dielectric film includes: introducing a source gas essentially constituted by Si, N, H, and optionally C and having at least one bond selected from Si—N, Si—Si, and Si—H into a reaction chamber where a substrate is placed; depositing a silazane-based film essentially constituted by Si, N, H, and optionally C on the substrate by plasma reaction at ?50° C. to 50° C., wherein the film is free of exposure of a solvent constituted essentially by C, H, and optionally O; and heat-treating the silazane-based film on the substrate in a heat-treating chamber while introducing an oxygen-supplying source into the heat-treating chamber to release C from the film and increase Si—O bonds in the film.
    Type: Application
    Filed: December 3, 2007
    Publication date: June 4, 2009
    Applicant: ASM JAPAN K.K.
    Inventors: Atsuki FUKUZAWA, Jeongseok HA, Nobuo MATSUKI
  • Publication number: 20090137132
    Abstract: Methods for forming silicon nitride hard masks are provided. The silicon nitride hard masks include carbon-doped silicon nitride layers and undoped silicon nitride layers. Carbon-doped silicon nitride layers that are deposited from a mixture comprising a carbon source compound, a silicon source compound, and a nitrogen source in the presence of RF power are provided. Also provided are methods of UV post-treating silicon nitride layers to provide silicon nitride hard masks. The carbon-doped silicon nitride layers and UV post-treated silicon nitride layers have desirable wet etch rates and dry etch rates for hard mask layers.
    Type: Application
    Filed: February 4, 2009
    Publication date: May 28, 2009
    Inventors: Ritwik Bhatia, Li-Qun Xia, Chad Peterson, Hichem M'Saad
  • Patent number: 7534732
    Abstract: Cu interconnects are formed with composite capping layers for reduced electromigration, improved adhesion between Cu and the capping layer, and reduced charge loss in associated non-volatile transistors. Embodiments include depositing a first relatively thin silicon nitride layer having a relatively high concentration of Si—H bonds on the upper surface of a layer of Cu for improved adhesion and reduced electromigration, and depositing a second relatively thick silicon nitride layer having a relatively low concentration of Si—H bonds on the first silicon nitride layer for reduced charge loss.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: May 19, 2009
    Assignees: Spansion LLC, Advanced Micro Devices, Inc.
    Inventors: Minh Van Ngo, Erik Wilson, Hieu Pham, Robert Huertas, Lu You, Hirokazu Tokuno, Alexander Nickel, Minh Tran
  • Publication number: 20090124097
    Abstract: A method of forming narrow fins in a substrate includes forming a sacrificial mandrel layer over the substrate; using a photolithographic process to pattern the mandrel layer so as to perform a plurality of mandrel features having an initial width greater than or equal to F and spacing therebetween of greater than or equal to F, wherein F corresponds to a minimum feature size associated with the photolithographic process; performing a thermal oxidation of sidewall surfaces of the mandrel features so as to form a plurality of oxide pillars, wherein the thermal oxidation consumes a portion of the mandrel material, and wherein the plurality of oxide pillars have both a width and a spacing therebetween that is less than F; removing remaining portions of the material; and transferring a pattern defined by the oxide pillars into the semiconductor substrate so as to form a plurality of fins having both a width and a spacing therebetween that is less than F.
    Type: Application
    Filed: November 9, 2007
    Publication date: May 14, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Kangguo Cheng
  • Patent number: 7528078
    Abstract: A process of forming an electronic device can include patterning a semiconductor layer to define an opening extending to an insulating layer, wherein the insulating layer lies between a substrate and the semiconductor layer. After patterning the semiconductor layer, the opening can have a bottom, and the semiconductor layer can have a sidewall and a surface. The surface can be spaced apart from the insulating layer, and the sidewall can extend from the surface towards the insulating layer. The process can also include depositing a nitride layer within the opening, wherein depositing is performed using a PECVD technique. The process can further include densifying the nitride layer. The process can still further include removing a part of the nitride layer, wherein a remaining portion of the nitride layer can lie within the opening and be spaced apart from the surface.
    Type: Grant
    Filed: May 12, 2006
    Date of Patent: May 5, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Toni D. Van Gompel, Kuang-Hsin Chen, Laegu Kang, Rode R. Mora, Michael D. Turner
  • Publication number: 20090104790
    Abstract: A method for forming a semiconductor structure includes reacting a silicon precursor and an atomic oxygen or nitrogen precursor at a processing temperature of about 150° C. or less to form a silicon oxide or silicon-nitrogen containing layer over a substrate. The silicon oxide or silicon-nitrogen containing layer is ultra-violet (UV) cured within an oxygen-containing environment.
    Type: Application
    Filed: October 22, 2007
    Publication date: April 23, 2009
    Applicant: Applied Materials, Inc.
    Inventor: Jingmei Liang
  • Patent number: 7521380
    Abstract: A method is provided for fabricating a semiconductor device on a semiconductor substrate. A plurality of narrow gate pitch transistors (NPTs) and wide gate pitch transistors (WPTs) are formed on and in the semiconductor substrate. The NPTs are spaced apart by a first distance, and the WPTs are spaced apart by a second distance greater than the first distance. A first stress liner layer is deposited overlying the NPTs, the WPTs and the semiconductor layer, an etch stop layer is deposited overlying the first stress liner layer, and a second stress liner layer is deposited overlying the etch stop layer. A portion of the second stress liner layer which overlies the WPTs is covered, and an exposed portion of the second stress liner layer which overlies the NPTs is removed to expose an exposed portion of the etch stop layer. The exposed portion of the etch stop layer which overlies the NPTs is removed.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: April 21, 2009
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Andrew M. Waite, Scott Luning, Frank (Bin) Yang
  • Patent number: 7517818
    Abstract: A method and system for forming a nitrided germanium-containing layer by plasma processing. The method includes providing a germanium-containing substrate in a process chamber, generating a plasma from a process gas containing N2 and a noble gas, where the plasma conditions are selected effective to form plasma excited N2 species while controlling formation of plasma excited N species, and exposing the substrate to the plasma to form a nitrided germanium-containing layer on the substrate. A method is also provided that includes exposing a germanium-containing dielectric layer to liquid or gaseous H2O to alter the thickness and chemical composition of the layer.
    Type: Grant
    Filed: October 31, 2005
    Date of Patent: April 14, 2009
    Assignees: Tokyo Electron Limited, The Board of Trustees of the Leland Stanford Junior University
    Inventors: Takuya Sugawara, Paul C. McIntyre
  • Publication number: 20090090990
    Abstract: Provided is a method for manufacturing a gate dielectric. This method, without limitation, includes subjecting a silicon substrate to a first plasma nitridation process to incorporate a nitrogen region therein. This method further includes growing a dielectric material layer over the nitrogen region using a nitrogen containing oxidizer gas, and subjecting the dielectric material layer to a second plasma nitridation process, thereby forming a nitrided dielectric material layer over the nitrogen region.
    Type: Application
    Filed: October 9, 2007
    Publication date: April 9, 2009
    Applicant: Texas Instruments, Incorporated
    Inventors: Hiroaki Niimi, Manoj Mehrotra
  • Patent number: 7514376
    Abstract: A method for manufacturing a semiconductor device is disclosed which enables to suppress decrease in the mobility in a channel region by suppressing piercing of boron through a gate insulation film which boron is ion-implanted into a gate electrode. The method for manufacturing a semiconductor device includes: a step for forming a gate insulating layer on an active region of a semiconductor substrate; a step for introducing nitrogen through the front surface of the gate insulating layer using active nitrogen; and a step for conducting an annealing treatment in an NO gas atmosphere so that the nitrogen concentration distribution in the nitrogen-introduced gate insulating layer is high on the front surface side and low on the side of the interface with the semiconductor substrate.
    Type: Grant
    Filed: April 18, 2005
    Date of Patent: April 7, 2009
    Assignee: Fujitsu Microelectronics Limited
    Inventor: Mitsuaki Hori
  • Patent number: 7514377
    Abstract: To provide a generator capable of generating plasma and ozone with high efficiency and easy to handle, with a simple structure. An electrode part 10 is formed of electrodes 11 and 12 without dielectric material interposed therebetween. An arc-extinguishing capacitor 13 as a charge storage part for storing charge is connected in series to the electrode part 10. An AC power source 15 generating plasma by causing self-arc-extinguishing discharge between the electrodes 11 and 12 by applying AC voltage to charge and discharge the arc-extinguishing capacitor 13, is connected to both ends of a circuit in which the electrode part 10 and the arc-extinguishing capacitor 13 are connected in series. The arc-extinguishing capacitor 13 and one electrode 12 of the electrode part 10 connected thereto are unitized, for making the electrode part multi-polarized.
    Type: Grant
    Filed: December 26, 2003
    Date of Patent: April 7, 2009
    Assignees: Hitachi Kokusai Electric Inc., Adtec Plasma Technology Co., Ltd.
    Inventors: Noriyoshi Sato, Takeshi Taniguchi, Hiroshi Mase, Shuitsu Fujii, Tamiya Fujiwara
  • Patent number: 7510985
    Abstract: A method is described for the manufacture of structured flexible metallic patterns in which a metallic layer on a flexible substrate is structured using laser ablation. The flexible patterns manufactured in this fashion may be used as interposers (strap) for RFID tags or RFID antennas.
    Type: Grant
    Filed: October 26, 2005
    Date of Patent: March 31, 2009
    Assignee: LPKF Laser & Electronics AG
    Inventors: Andreas Boenke, Dieter J. Meier
  • Publication number: 20090068854
    Abstract: A method for fabricating a silicon nitride gap-filling layer is provided. A pre-multi-step formation process is performed to form a stacked layer constituting as a dense film on a substrate. Then, a post-single step deposition process is conducted to form a cap layer constituting as a sparse film on the stacked layer, wherein the cap layer has a thickness of at least 10% of the total film thickness.
    Type: Application
    Filed: September 11, 2007
    Publication date: March 12, 2009
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Neng-Kuo Chen, Chao-Ching Hsieh, Chien-Chung Huang
  • Patent number: 7501355
    Abstract: Methods for forming silicon nitride hard masks are provided. The silicon nitride hard masks include carbon-doped silicon nitride layers and undoped silicon nitride layers. Carbon-doped silicon nitride layers that are deposited from a mixture comprising a carbon source compound, a silicon source compound, and a nitrogen source in the presence of RF power are provided. Also provided are methods of UV post-treating silicon nitride layers to provide silicon nitride hard masks. The carbon-doped silicon nitride layers and UV post-treated silicon nitride layers have desirable wet etch rates and dry etch rates for hard mask layers.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: March 10, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ritwik Bhatia, Li-Qun Xia, Chad Peterson, Hichem M'Saad
  • Patent number: 7501353
    Abstract: Disclosed is a method for the formation of features in a damascene process. According to the method, vias are formed in a dielectric layer and then covered by a layer of high molecular weight polymer. The high molecular weight polymer covers the vias but does not enter the vias. A trench is then etched through the high molecular weight polymer and the dielectric layer. Any remaining high molecular weight polymer is then removed.
    Type: Grant
    Filed: December 22, 2006
    Date of Patent: March 10, 2009
    Assignee: International Business Machines Corporation
    Inventors: Wai-Kin Li, Wu-Song Huang
  • Patent number: 7494941
    Abstract: At a time of a substrate loading step or/and at a time of a substrate unloading step, particles are effectively eliminated from a reaction chamber. Provided are a step of loading at least one wafer 200 into a reaction chamber 201, a step of introducing reaction gas into the reaction chamber 201, and exhausting an inside of the reaction chamber 201, thereby processing the wafer 200, and a step of unloading the processed wafer 200 from the reaction chamber 201. In the step of loading the wafer 200 or/and in the step of unloading the wafer 200, the inside of the reaction chamber 201 is exhausted at a larger exhaust flow rate than an exhaust flow rate in the step of processing the wafer 200.
    Type: Grant
    Filed: November 19, 2004
    Date of Patent: February 24, 2009
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Osamu Kasahara, Kiyohiko Maeda, Akihiko Yoneda
  • Patent number: 7491660
    Abstract: A method is provided for making a FET device in which a nitride layer overlies the PFET gate structure, where the nitride layer has a compressive stress with a magnitude greater than about 2.8 GPa. This compressive stress permits improved device performance in the PFET. The nitride layer is deposited using a high-density plasma (HDP) process, wherein the substrate is disposed on an electrode to which a bias power in the range of about 50 W to about 500 W is supplied. The bias power is characterized as high-frequency power (supplied by an RF generator at 13.56 MHz). The FET device may also include NFET gate structures. A blocking layer is deposited over the NFET gate structures so that the nitride layer overlies the blocking layer; after the blocking layer is removed, the nitride layer is not in contact with the NFET gate structures. The nitride layer has a thickness in the range of about 300-2000 ?.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: February 17, 2009
    Assignees: International Business Machines Corporation, Novellus Systems. Inc.
    Inventors: Richard A. Conti, Ronald P. Bourque, Nancy R. Klymko, Anita Madan, Michael C. Smits, Roy H. Tilghman, Kwong Hon Wong, Daewon Yang
  • Patent number: 7488694
    Abstract: The present invention provides nitrogenous compositions for forming a silicon nitride layer, wherein the nitrogenous composition comprises a hydrazine compound, an amine compound or a mixture thereof. The present invention further provides source compositions for forming a silicon nitride layer, wherein the source composition comprises a nitrogenous composition comprising a hydrazine compound, an amine compound or a mixture thereof, and a silicon source comprising hexachlorodisilane. Methods for forming silicon nitride layers are further provided. The silicon nitride layers provided herein may be formed on a substrate at a low temperature and may further exhibit improved breakdown voltage and an enhanced etch resistance.
    Type: Grant
    Filed: January 7, 2005
    Date of Patent: February 10, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Gyun Kim, Jae-Young Ahn, Hee-Seok Kim, Ju-Wan Lim
  • Publication number: 20090035927
    Abstract: Methods of forming dielectric layers on a substrate comprising silicon and oxygen are disclosed herein. In some embodiments, a method of forming a dielectric layer on a substrate includes provide a substrate having an exposed silicon oxide layer; treating an upper surface of the silicon oxide layer with a plasma; and depositing a silicon nitride layer on the treated silicon oxide layer via atomic layer deposition. The silicon nitride layer may be exposed to a plasma nitridation process. The silicon oxide and silicon nitride layers may be subsequently thermally annealed. The dielectric layers may be used as part of a gate structure.
    Type: Application
    Filed: July 30, 2007
    Publication date: February 5, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: CHRISTOPHER S. OLSEN, TEJAL GOYANI, JOHANES SWENBERG
  • Patent number: 7482286
    Abstract: Method for producing a metal silicon (oxy)nitride by introducing a carbon-free silicon source (for example, (SiH3)3N), a metal precursor with the general formula MXn (for example, Hf(NEt2)4), and an oxidizing agent (for example, O2) into a CVD chamber and reacting same at the surface of a substrate. MsiN, MSIo and/or MSiON films may be obtained. These films are useful are useful as high k dielectrics films.
    Type: Grant
    Filed: February 24, 2005
    Date of Patent: January 27, 2009
    Assignee: L'Air Liquide, Societe Anonyme A Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Ashutosh Misra, Matthew Fisher, Benjamin Jurcik, Christian Dussarrat, Eri Tsukada, Jean-Marc Girard
  • Publication number: 20090023301
    Abstract: A method of manufacturing a semiconductor device has supplying a first reactant gas into buffer chamber provided in a reaction chamber of the film deposition apparatus to form a first film over an inner wall surface of the buffer chamber, and supplying a second reactant gas into the reaction chamber to form a second film over a semiconductor substrate.
    Type: Application
    Filed: July 17, 2008
    Publication date: January 22, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventor: Katsuaki OOKOSHI
  • Publication number: 20090004887
    Abstract: In a film deposition apparatus which deposition a film through SWP-CVD, a substrate holder on which a substrate is to be placed is provided with cooling means, thereby inhibiting occurrence of an increase in the temperature of the substrate, which would otherwise be caused during deposition of a film. A coolant passage is formed in the substrate holder, and coolant delivered from a chiller is circulated through the coolant passage, thereby cooling the substrate holder. Further, grooves are formed in the surface of a cooling holder where a substrate is to be placed, and the substrate is cooled by a helium gas by causing the helium gas to flow through the grooves.
    Type: Application
    Filed: August 27, 2008
    Publication date: January 1, 2009
    Applicant: SHIMADZU CORPORATION
    Inventors: Masayasu Suzuki, Tetsuya Saruwatari
  • Publication number: 20080305648
    Abstract: A method of forming an inorganic silazane-based dielectric film includes: introducing a gas constituted by Si and H and a gas constituted by N and optionally H into a reaction chamber where an object is placed; controlling a temperature of the object at ?50° C. to 50° C.; and depositing by plasma reaction a film constituted by Si, N, and H containing inorganic silazane bonds.
    Type: Application
    Filed: June 6, 2007
    Publication date: December 11, 2008
    Applicant: ASM JAPAN K.K.
    Inventors: Atsuki FUKAZAWA, Nobuo MATSUKI, Jeongseok HA
  • Patent number: 7462527
    Abstract: A method is provided for making a FET device in which a nitride layer overlies the PFET gate structure, where the nitride layer has a compressive stress with a magnitude greater than about 2.8 GPa. This compressive stress permits improved device performance in the PFET. The nitride layer is deposited using a high-density plasma (HDP) process, wherein the substrate is disposed on an electrode to which a bias power in the range of about 50 W to about 500 W is supplied. The bias power is characterized as high-frequency power (supplied by an RF generator at 13.56 MHz). The FET device may also include NFET gate structures. A blocking layer is deposited over the NFET gate structures so that the nitride layer overlies the blocking layer; after the blocking layer is removed, the nitride layer is not in contact with the NFET gate structures. The nitride layer has a thickness in the range of about 300-2000 ?.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: December 9, 2008
    Assignees: International Business Machines Corporation, Novellus Systems, Inc.
    Inventors: Richard A. Conti, Ronald P. Bourque, Nancy R. Klymko, Anita Madan, Michael C. Smits, Roy H. Tilghman, Kwong Hon Wong, Daewon Yang
  • Patent number: 7462571
    Abstract: An impurity-doped silicon nitride or oxynitride film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas containing a silane family gas, a second process gas containing a nitriding or oxynitriding gas, and a third process gas containing a doping gas. This method alternately includes first to fourth steps. The first step performs supply of the first and third process gases to the field. The second step stops supply of the first to third process gases to the field. The third step performs supply of the second process gas to the field while stopping supply of the first and third process gases to the field, and includes an excitation period of exciting the second process gas by an exciting mechanism. The fourth step stops supply of the first to third process gases to the field.
    Type: Grant
    Filed: July 26, 2005
    Date of Patent: December 9, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhide Hasebe, Mitsuhiro Okada, Pao-Hwa Chou, Chaeho Kim, Jun Ogawa
  • Publication number: 20080286984
    Abstract: In one embodiment, a method for forming a silicon nitride film is provided. The method includes providing a plasma-enhanced chemical vapor deposition (PECVD) reactor with a semiconductor substrate therein; flowing a gas mixture consisting of silane and nitrogen into the PECVD reactor; and forming a plasma in the PECVD reactor, whereby the silicon nitride film is deposited on the semiconductor substrate.
    Type: Application
    Filed: May 14, 2007
    Publication date: November 20, 2008
    Inventors: Jason B. Taylor, Chiliang Chen
  • Patent number: 7435663
    Abstract: Simple but practical methods to dice a CMOS-MEMS multi-project wafer are proposed. On this wafer, micromachined microstructures have been fabricated and released. In a method, a photoresist is spun on the full wafer surface, and this photoresist is thick enough to cover all cavities and structures on the wafer, such that the photoresist will protect the released structures free from the chipping, vibrations, and damages in the diamond blade dicing process. In another method, a laser dicing system is utilized to scribe the multi-project wafer placed on a platform, and by precisely controlling the platform moving-track, the dicing path can be programmed to any required shape and region, even it is not straight. In addition, the wafer backside is mounted on a blue-tape at the beginning to enhance the process reliability.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: October 14, 2008
    Assignee: National Applied Research Laboratories National Chip International Center
    Inventors: Sheng-Hsiang Tseng, Fu-Yuan Xiao, Ying-Zong Juang, Chin-Fong Chiu
  • Patent number: 7427518
    Abstract: According to the present invention, there is provided a semiconductor device fabrication method comprising: measuring light emission intensity of at least one type of wavelength contained in light emitted from a plasma, when one of nitriding, oxidation, and impurity doping is to be performed on a surface of a semiconductor substrate in a processing vessel by using the plasma; calculating, for each semiconductor substrate, an exposure time during which the semiconductor substrate is exposed to the plasma, on the basis of the measured light emission intensity; and exposing each semiconductor substrate to the plasma on the basis of the calculated exposure time, thereby performing one of the nitriding, oxidation, and impurity doping.
    Type: Grant
    Filed: October 28, 2005
    Date of Patent: September 23, 2008
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Katsuyuki Sekine, Seiji Inumiya, Motoyuki Sato, Akio Kaneko, Kazuhiro Eguchi
  • Publication number: 20080173985
    Abstract: A dielectric cap and related methods are disclosed. In one embodiment, the dielectric cap includes a dielectric material having an optical band gap (e.g. greater than about 3.0 electron-Volts) to substantially block ultraviolet radiation during a curing treatment, and including nitrogen with electron donor, double bond electrons.
    Type: Application
    Filed: January 24, 2007
    Publication date: July 24, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Michael P. Belyansky, Griselda Bonilla, Xiao Hu Liu, Son Van Nguyen, Thomas M. Shaw, Hosadurga K. Shobha, Daewon Yang
  • Publication number: 20080176413
    Abstract: A selective plasma processing method, within a processing chamber of a plasma processing apparatus, acts oxygen-containing plasma on a target object having silicon and a silicon nitride layer to selectively oxidize the silicon with respect to the silicon nitride layer and to form a silicon oxide film. Further, the ratio of a thickness of a silicon oxynitride film formed within the silicon nitride layer to a thickness of the silicon oxide film formed by the oxidization is equal to or smaller than 20%.
    Type: Application
    Filed: March 21, 2008
    Publication date: July 24, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masaru SASAKI
  • Patent number: 7390744
    Abstract: Polishing compositions and methods for removing conductive materials and barrier materials from a substrate surface are provided. Polishing compositions are provided for removing at least a barrier material from a substrate surface by a chemical mechanical polishing process or by an electrochemical mechanical polishing process. The polishing compositions used in barrier removal may further be used after a process for electrochemical mechanical planarization process of a conductive material. The polishing compositions and methods described herein improve the effective removal rate of materials from the substrate surface with a reduction in planarization type defects.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: June 24, 2008
    Assignee: Applied Materials, Inc.
    Inventors: Renhe Jia, Feng Q. Liu, Stan D. Tsai, Liang-Yuh Chen
  • Patent number: 7381608
    Abstract: A method for making a semiconductor device is described. That method comprises adding nitrogen to a silicon dioxide layer to form a nitrided silicon dioxide layer on a substrate. After forming a sacrificial layer on the nitrided silicon dioxide layer, the sacrificial layer is removed to generate a trench. A high-k gate dielectric layer is formed on the nitrided silicon dioxide layer within the trench, and a metal gate electrode is formed on the high-k gate dielectric layer.
    Type: Grant
    Filed: December 7, 2004
    Date of Patent: June 3, 2008
    Assignee: Intel Corporation
    Inventors: Justin K. Brask, Sangwoo Pae, Jack Kavalieros, Matthew V. Metz, Mark L. Doczy, Suman Datta, Robert S. Chau, Jose A. Maiz
  • Patent number: 7380328
    Abstract: The invention includes a stacked open pattern inductor fabricated above a semiconductor substrate. The stacked open pattern inductor includes a plurality of parallel open conducting patterns embedded in a magnetic oxide or in an insulator and a magnetic material. Embedding the stacked open pattern inductor in a magnetic oxide or in an insulator and a magnetic material increases the inductance of the inductor and allows the magnetic flux to be confined to the area of the inductor. A layer of magnetic material may be located above the inductor and below the inductor to confine electronic noise generated in the stacked open pattern inductor to the area occupied by the inductor. The stacked open pattern inductor may be fabricated using conventional integrated circuit manufacturing processes, and the inductor may be used in connection with computer systems.
    Type: Grant
    Filed: November 25, 2003
    Date of Patent: June 3, 2008
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7381660
    Abstract: A silicon nitride layer having a silicon-rich sub-layer and a standard sub-layer is formed on a copper surface to obtain excellent electromigration characteristics due to the standard sub-layer that is in contact with the copper, while maintaining a superior diffusion barrier behavior due to the silicon-rich sub-layer. By combining these sub-layers, the overall thickness of the silicon nitride layer may be kept small compared to conventional silicon nitride barrier layers, thereby reducing the capacitive coupling of adjacent copper lines.
    Type: Grant
    Filed: November 19, 2003
    Date of Patent: June 3, 2008
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Larry Zhao, Jeremy Martin, Hartmut Ruelke
  • Patent number: 7374635
    Abstract: A gate insulation film (104) of a MISFET (100) is constituted of a silicon oxide film (106), silicon nitride film (107), and high-permittivity film (108). The silicon oxide film (106) and silicon nitride film (107) are formed by microwave plasma processing with a radial line slot antenna.
    Type: Grant
    Filed: December 11, 2006
    Date of Patent: May 20, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Shigemi Murakawa, Toshikazu Kumai, Toshio Nakanishi
  • Publication number: 20080113521
    Abstract: A method of forming an ultra-thin SiN film includes: supplying a Si source gas into a reactor in which a substrate is placed on a susceptor; supplying an N source gas into the reactor at a flow rate which is at least 300 times that of the Si source gas; applying an RF power between an upper electrode and the susceptor in the reactor; and depositing an ultra-thin SiN film on the substrate.
    Type: Application
    Filed: November 14, 2007
    Publication date: May 15, 2008
    Applicant: ASM JAPAN K.K.
    Inventors: Rei Tanaka, Taku Hitomi
  • Publication number: 20080087965
    Abstract: A method for increasing carrier mobility of transistors included in an semiconductor device includes forming a stress inducing layer over a plurality of transistors, the transistors formed in regions of varying transistor density, wherein the stress inducing layer is formed at a varying thickness depending on the transistor density, such that the stress inducing layer is thicker in regions of increased transistor density and thinner in regions of decreased transistor density.
    Type: Application
    Filed: October 11, 2006
    Publication date: April 17, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Xiangdong Chen, Haining S. Yang
  • Patent number: 7351668
    Abstract: An insulating film is formed on a target substrate by CVD, in a process field to be selectively supplied with a first process gas containing a silane family gas, a second process gas containing a nitriding or oxynitriding gas, and a third process gas containing a carbon hydride gas. This method alternately includes first to fourth steps. The first step performs supply of the first and third process gases to the field while stopping supply of the second process gas to the process field. The second step stops supply of the first to third process gases to the field. The third step performs supply of the second process gas to the field while stopping supply of the first and third process gases to the field. The fourth step stops supply of the first to third process gases to the field.
    Type: Grant
    Filed: March 7, 2006
    Date of Patent: April 1, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Pao-Hwa Chou, Kazuhide Hasebe
  • Patent number: 7312151
    Abstract: The present invention provides a system for removing organic contaminants (216) from a copper seed layer that has been deposited on a semiconductor substrate (206). The present invention provides a housing (204) to enclose the semiconductor substrate within. An ultraviolet radiation source (210) is disposed within the housing. A treatment medium (208) is also provided within the housing. The semiconductor substrate is enclosed within the housing and exposed to the treatment medium. The ultraviolet radiation source exposes the semiconductor substrate to ultraviolet radiation, desorbing the contaminants from the seed layer.
    Type: Grant
    Filed: January 17, 2006
    Date of Patent: December 25, 2007
    Assignee: Texas Instruments Incorporated
    Inventors: Aaron Frank, David Gonzalez, John DeGenova, Srinavas Raghavan, Deepak A. Ramappa