For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Publication number: 20120129344
    Abstract: A process for removing contaminating metals from a substrate to improve electrical performance is provided. Polycationic metals are known to be particularly detrimental to the electrical properties of an insulator or semiconductor substrate. The process includes the exposure of the substrate to an aqueous solution of at least one compound of the formula: (I) where n in each occurrence is independently an integer value between 0 and 6, and X is independently in each occurrence H, NR4, Li, Na or K and at least one of X is NR4; where R in each occurrence is independently H or C1-C6 alkyl, to improve electrical performance of the substrate. A kit for preparing such a solution includes a 1-20 total weight percent aqueous concentrate of at least one compound of formula (I). The kit also provides instructions for the dilution of the concentrate to form the solution.
    Type: Application
    Filed: April 8, 2010
    Publication date: May 24, 2012
    Inventors: Helmuth Treichel, Dave Bohling, Jeffrey Farber
  • Patent number: 8183195
    Abstract: Highly alkaline, aqueous formulations including (a) water, (b) at least one metal ion-free base at sufficient amounts to produce a final formulation alkaline pH, (c) from about 0.01% to about 5% by weight (expressed as % SiO2) of at least one water-soluble metal ion-free silicate corrosion inhibitors; (d) from about 0.01% to about 10% by weight of at least one metal chelating agent, and (e) from more than 0 to about 2.0% by weight of at least one oxymetalate are provided in accordance with this invention. Such formulations are combined with a peroxide such that a peroxymetalate is formed to produce form a microelectronic cleaning composition. Used to remove contaminants and residue from microelectronic devices, such as microelectronic substrates.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: May 22, 2012
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Glenn L. Westwood
  • Patent number: 8178482
    Abstract: A stripping and cleaning composition for cleaning microelectronics substrates, the composition comprising: at least one organic stripping solvent, at least one nucleophilic amine, at least one non-nitrogen containing weak acid in an amount sufficient to neutralize from about 3% to about 75% by weight of the nucleophilic amine such that the stripping composition has an aqueous pH of from about 9.6 to about 10.9, said weak acid having a pK value in aqueous solution of 2.0 or greater and an equivalent weight of less than 140, at least one metal-removing compound selected from the group consisting of diethylene glycol and diethylene glycolamine, and water, and method for cleaning microelectronic substrates with these compositions.
    Type: Grant
    Filed: June 23, 2005
    Date of Patent: May 15, 2012
    Assignee: Avantor Performance Materials, Inc.
    Inventor: Sean M. Kane
  • Patent number: 8173584
    Abstract: The present invention is directed to compositions and method of use for treating semiconductor substrate comprising a sulfonium compound and a nucleophilic amine in the fabrication of electronic devices. Optionally, the said composition further comprises a chelating agent, and solvent. The pH of the said solution can be adjusted with the addition of acid or base. The semiconductor manufacturing processes include steps for post etch residue, photoresist removal and steps during chemical mechanical planarization and post chemical mechanical planarization.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: May 8, 2012
    Inventor: Wai Mun Lee
  • Patent number: 8168577
    Abstract: A microelectronic cleaning compositions of: a) from about 80% to about 99% by weight of the composition of at least one organic sulfone; b) from about 0.5% to about 19% by weight of the composition of water; and c) from about 0.5% to about 10% by weight of the composition of at least one component providing tetrafluoroborate ion, and d) optionally at least one polyhydric alcohol is especially useful to clean etch/ash residues from microelectronic substrates or device having both Si-based anti-reflective coatings and low-k dielectrics.
    Type: Grant
    Filed: February 5, 2009
    Date of Patent: May 1, 2012
    Assignee: Avantor Performance Materials, Inc.
    Inventor: William R. Gemmill
  • Patent number: 8168541
    Abstract: The present invention relates to a CMP polishing slurry, comprising cerium oxide particles, a dispersing agent, a water-soluble polymer and water, wherein the water-soluble polymer is a compound having a skeleton of any one of an N-mono-substituted product and an N,N-di-substituted product of any one selected from the group consisting of acrylamide, methacrylamide and ?-substituted products thereof. The amount of the water-soluble polymer is preferably in the range of 0.01 part or more by weight and 10 parts or less by weight for 100 parts by weight of the polishing slurry. Thus it is possible to provide a polishing slurry and a polishing method which make it possible to polish a film made of silicon oxide or the like effectively and rapidly and further control the process therefore easily in CMP technique for flattening an interlayer insulating film, a BPSG film, an insulator film for shallow trench isolation, and other films.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: May 1, 2012
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Masato Fukasawa, Masato Yoshida, Naoyuki Koyama, Yuto Ootsuki, Chiaki Yamagishi, Kazuhiro Enomoto, Kouji Haga, Yasushi Kurata
  • Patent number: 8163095
    Abstract: The present invention provides a stripping composition and a stripping method capable of easily stripping a color resist or an organic insulating film formed on a substrate to reuse the substrate when defects are found during a process of forming the color filter or organic insulating film on the substrate. In one embodiment, the stripping composition includes about 0.5 to about 45 wt % of hydroxide compound, about 10 to about 89 wt % of alkyleneglycolalkylether compound, about 5 to about 45 wt % of alkanolamine compound, and about 0.01 to about 5 wt % of inorganic salt compound. Advantageously, the stripping process can be performed without damaging a thin film transistor of a bottom substrate while removing the color resist or organic insulating film.
    Type: Grant
    Filed: May 5, 2011
    Date of Patent: April 24, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ji Sun Lee, Hong Sick Park, Jong Hyun Choung, Sun Young Hong, Bong Kyun Kim, Byeong Jin Lee, Byung Uk Kim, Jong Hyun Jeong, Suk II Yoon, Seong Bae Kim, Sung Gun Shin, Soon Beom Huh, Se Hwan Jung, Doo Young Jang
  • Publication number: 20120090670
    Abstract: Disclosed herein is a cleaning solution composition for a solar cell, comprising: organic alkali compounds, water-soluble glycol ether compounds, percarbonates, organic phosphoric acids or salts thereof, and water.
    Type: Application
    Filed: June 23, 2010
    Publication date: April 19, 2012
    Applicant: Dongwoo Fine-Chem Co., Ltd.
    Inventors: Hyo-Joong Yoon, Soon-Hong Bang, Sang-Tae Kim, Seung-Yong Lee
  • Publication number: 20120094887
    Abstract: Disclosed is a method for supplying hydroxyl radical-containing water, which is highly convenient and with which water containing a relatively high concentration of hydroxyl radicals can be supplied to the point of use. The method for supplying hydroxyl radical-containing water comprises a production step of producing hydroxyl radical-containing water by dissolving ozone, hydrogen peroxide, and at least one additive substance selected from a group consisting of a water-soluble organic compound, an inorganic acid, a salt of an inorganic acid, and hydrazine in pure water, a transferring step of transferring the produced hydroxyl radical-containing water to the point of use, and a supplying step of supplying the hydroxyl radical-containing water to the point of use after transferring.
    Type: Application
    Filed: June 10, 2010
    Publication date: April 19, 2012
    Applicant: Kurashiki Boseki Kabushiki Kaisha Technical Research Laboratory
    Inventors: Tadaharu Tanaka, Motonobu Shiomi, Akihiro Ueno
  • Publication number: 20120094886
    Abstract: The aqueous alkaline cleaning composition comprising (A) at least one thioamino acid having at least one secondary or tertiary amino group and at least one mercapto group and (B) at least one quaternary ammonium hydroxide; the use of the alkaline cleaning composition for the processing of substrates useful for fabricating electrical and optical devices; and a method for processing substrates useful for fabricating electrical and optical devices making use of the said aqueous alkaline cleaning composition.
    Type: Application
    Filed: June 24, 2010
    Publication date: April 19, 2012
    Applicant: BASF SE
    Inventors: Raimund Mellies, Andreas Klipp
  • Publication number: 20120090646
    Abstract: An object of this invention is to provide a cleaner for the removal of water-soluble, lead-free soldering flux, the cleaner capable of cleaning off, in a short period of time, water-soluble flux residues generated during soldering with lead-free solder using water-soluble flux, the cleaner further having excellent dissolution property as well as excellent narrow gap cleaning property. The cleaner for removing water-soluble, lead-free soldering flux of the present invention comprises 5 to 100 parts by weight of a glycol ether compound (A) per 100 parts by weight of water.
    Type: Application
    Filed: August 20, 2010
    Publication date: April 19, 2012
    Applicant: ARAKAWA CHEMICAL INDUSTRIES, LTD.
    Inventors: Takashi Tanaka, Keita Tanaka, Yoshinobu Morino
  • Patent number: 8158569
    Abstract: Disclosed are cleaning solvents and cleaning methods for metallic compounds deposited on the equipment that supplies organometallic compounds to the manufacturing tool in the photovoltaic industry or the semiconductor industry. The cleaning solvents and the cleaning methods disclosed not only selectively remove the metallic compound without corroding the equipment, but also improve the ordinary cleaning process. Moreover, the cleaning solvents and the cleaning methods disclosed improve maintenance costs for the supply system because the equipment may be cleaned without being detached from the supply system.
    Type: Grant
    Filed: October 24, 2011
    Date of Patent: April 17, 2012
    Assignee: L'Air Liquide Societe Anonyme Pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventor: Yoichi Sakata
  • Patent number: 8158568
    Abstract: It is disclosed a cleaning liquid used in a process for forming a dual damascene structure comprising steps of etching a low dielectric layer (low-k layer) accumulated on a substrate having thereon a metallic layer to form a first etched-space; charging a sacrifice layer in the first etched-space; partially etching the low dielectric layer and the sacrifice layer to form a second etched-space connected to the first etched-space; and removing the sacrifice layer remaining in the first etched-space with the cleaning liquid, wherein the cleaning liquid comprises (a) 1-25 mass % of a quaternary ammonium hydroxide, such as TMAH and choline (b) 30-70 mass % of a water soluble organic solvent, and (c) 20-60 mass % of water.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: April 17, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shigeru Yokoi, Kazumasa Wakiya
  • Patent number: 8153357
    Abstract: A photosensitive composition remover used for removal of an uncured photosensitive composition, which remover comprises 1 to 80 percent by mass of at least one type of aromatic hydrocarbon having 9 carbon atoms or more within the molecule. The photosensitive composition remover further comprises an aprotic polar solvent and/or another solvent other than aprotic polar solvents. The photosensitive composition remover is effective for removal of an uncured photosensitive composition film deposited at the periphery, edges, or back of a substrate or removal of an uncured photosensitive composition deposited at the surface of system members or equipment in a process for forming a photosensitive composition film on a glass substrate, a semiconductor wafer, or the like. It is preferably used for removal of a photosensitive composition containing a pigment.
    Type: Grant
    Filed: December 14, 2004
    Date of Patent: April 10, 2012
    Assignee: Showa Denko K.K.
    Inventors: Masato Kaneda, Yasuhiro Mikawa, Koji Shimizu, Kouichi Terao
  • Patent number: 8153019
    Abstract: Methods for preventing isotropic removal of materials at corners formed by seams, keyholes, and other anomalies in films or other structures include use of etch blockers to cover or coat such corners. This covering or coating prevents exposure of the corners to isotropic etch solutions and cleaning solutions and, thus, prevents higher material removal rates at the corners than at smoother areas of the structure or film from which material is removed. Solutions, including wet etchants and cleaning solutions, that include at least one type of etch blocker are also disclosed, as are systems for preventing higher rates of material removal at corners formed by seams, crevices, or recesses in a film or other structure. Semiconductor device structures in which etch blockers are located so as to prevent isotropic etchants from removing material from corners of seams, crevices, or recesses in a surface of a film or other structure at undesirably high rates are also disclosed.
    Type: Grant
    Filed: August 6, 2007
    Date of Patent: April 10, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, J. Neil Greeley
  • Publication number: 20120080053
    Abstract: Disclosed is a cleaning method which can remove, particularly, all of an organic contaminant, a particle contaminant, and a metal contaminant adhered to a semiconductor substrate at a high cleaning level, and which can realize the reduction in environmental load caused by the cleaning. The method of cleaning the semiconductor substrate includes a first cleaning process of cleaning the semiconductor substrate with a cleaning composition including a transition-metal-containing water-soluble salt (A), a chelating agent (B1), and a peroxide (C), a ratio of the chelating agent (B1) to the transition-metal-containing water-soluble salt (A) being 0.5 molar equivalent or more; and a second cleaning process of cleaning the semiconductor substrate, which is cleaned through the first cleaning process, with an acidic solution containing a chelating agent (B2).
    Type: Application
    Filed: April 30, 2010
    Publication date: April 5, 2012
    Applicant: LION CORPORATION
    Inventors: Makoto Hidaka, Taku Ogura, Maiko Kikuchi, Motohiro Kageyama, Masayuki Takashima
  • Publication number: 20120083436
    Abstract: The present invention is directed to compositions and method of use for treating semiconductor substrate comprising a sulfonium compound and a nucleophilic amine in the fabrication of electronic devices. Optionally, the said composition further comprises a chelating agent, and solvent. The pH of the said solution can be adjusted with the addition of acid or base. The semiconductor manufacturing processes include steps for post etch residue, photoresist removal and steps during chemical mechanical planarization and post chemical mechanical planarization.
    Type: Application
    Filed: December 7, 2011
    Publication date: April 5, 2012
    Inventor: Wai Mun LEE
  • Patent number: 8148311
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at a mole ratio of about 1:1 to about 10:1 in water, and pH is adjusted to from about 6 to about 10 with a basic compound, and optionally a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates. One of the embodiment is the method of using the compositions in dilution, wherein the solution may be diluted with DI water at dilution ratios, for example, of up to 1:10, up to 1:50, up to 1:100, up to 1:150, up to 1:250, and up to about 1:500 or any ratios therein.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: April 3, 2012
    Inventor: Wai Mun Lee
  • Patent number: 8147709
    Abstract: Disclosed are compositions comprising HFC-245eb and at least one additional compound selected from the group consisting of HFO-1234ze, HFC-245fa, HFC-236cb, HFC-236ea, HFC-236fa, HFC-227ea, HFC-227ca, HFO-1225yc, HFO-1225zc, HFO-1225ye, methane, ethane, propane, HFC-23, HFC-143a, HFC-134, HFC-134a, FC-1216, HFO-1234yf, HFC-254eb, HFO-1243zf, and HFC-254fb. Compositions comprising HFC-245eb are useful in processes to make HFO-1234yf. Also disclosed are compositions comprising HFO-1234yf and at least one additional compound selected from the group consisting of HFO-1234ze, HFC-254eb, HFC-254fb, HFO-1243zf, HFCHFC-245eb, HFC-245fa, HFC-245cb, HFC-236cb, HFC-236ea, HFC-236fa, HFC-227ea, HFC-227ca, HFO-1225yc, HFO-1225zc, HFO-1225ye, methane, ethane, propane, HFC-23, HFC-134, HFC-134a, HFO-1132a and FC-1216. Compositions comprising HFO-1234yf are useful as heat transfer compositions for use in refrigeration, air-conditioning and heat pump systems.
    Type: Grant
    Filed: May 7, 2009
    Date of Patent: April 3, 2012
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Barry Asher Mahler, Mario Joseph Nappa, Jeffrey P. Knapp
  • Patent number: 8147710
    Abstract: Compositions comprising CF3CClFCH2OH, CF3CClFCH2OZnCl, and CF3CClFCH2OC(?O)CH3 are useful in processes to make HFO-1234yf.
    Type: Grant
    Filed: October 9, 2009
    Date of Patent: April 3, 2012
    Assignee: E. I. du Pont de Nemours and Company
    Inventors: Mario Joseph Nappa, Xuehui Sun
  • Patent number: 8148310
    Abstract: The compositions and methods herein relate to the method for the removal of residues and contaminants from metal or dielectric surfaces. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Grant
    Filed: September 23, 2010
    Date of Patent: April 3, 2012
    Inventor: Wai Mun Lee
  • Publication number: 20120073610
    Abstract: A cleaning agent for a semiconductor substrate, which is capable of exerting cleaning power equivalent to that of an SPM cleaning agent, greatly improving damage of a semiconductor substrate by the SPM cleaning agent, and efficiently stripping and removing impurities adhered to the surface of the semiconductor substrate, particularly attached substances such as an ion-implanted resist, a cleaning method using the cleaning agent, and a method for producing a semiconductor element are provided. The cleaning agent for a semiconductor substrate comprises sulfuric acid, hydrogen peroxide and an alkylene carbonate. The method for cleaning a semiconductor substrate comprises cleaning the semiconductor substrate with sulfuric acid, hydrogen peroxide and an alkylene carbonate in combination.
    Type: Application
    Filed: September 26, 2011
    Publication date: March 29, 2012
    Applicant: FUJIFILM CORPORATION
    Inventor: Tetsuya KAMIMURA
  • Patent number: 8143164
    Abstract: Embodiments of the current invention describe methods of processing a semiconductor substrate that include applying a zincating solution to the semiconductor substrate to form a zinc passivation layer on the titanium-containing layer, the zincating solution comprising a zinc salt, FeCl3, and a pH adjuster.
    Type: Grant
    Filed: February 9, 2009
    Date of Patent: March 27, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Bob Kong, Zhi-Wen Sun, Chi-I Lang, Jinhong Tong, Tony Chiang
  • Patent number: 8143203
    Abstract: The present invention provides a washing method for a device substrate, capable of sufficiently removing a resist attached to a device substrate, particularly a resist attached to fine pore portions of a pattern having a large aspect ratio. A method for washing a device substrate, which comprises a washing step of removing a resist attached to a device substrate by means of a solvent, wherein the solvent is a composition comprising at least one fluorinated compound selected from the group consisting of a hydrofluoroether, a hydrofluorocarbon and a perfluorocarbon, and a fluorinated alcohol.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: March 27, 2012
    Assignee: Asahi Glass Company, Limited
    Inventors: Hidekazu Okamoto, Hideo Namatsu
  • Publication number: 20120070992
    Abstract: Hot melt etch resist is selectively applied to an anti-reflective coating or a selective emitter on a semiconductor wafer. The exposed portions of the anti-reflective coating or selective emitter are etched away using an inorganic acid containing etch to expose the semiconductor surface. The hot melt etch resist is then stripped from the semiconductor with an alkaline stripper which does not compromise the electrical integrity of the semiconductor. The exposed semiconductor is then metalized to form current tracks.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 22, 2012
    Applicant: Rohm and Haas Electronics Materials LLC
    Inventors: Hua DONG, Robert K. Barr
  • Patent number: 8137472
    Abstract: A semiconductor process is provided. First, a metal layer, a dielectric layer and a patterned hard mask layer are sequentially formed on a substrate. Thereafter, a portion of the dielectric layer is removed to form an opening exposing the metal layer. Afterwards, a cleaning solution is used to clean the opening. The cleaning solution includes a triazole compound with a content of 0.00275 to 3 wt %, sulfuric acid with a content of 1 to 10 wt %, hydrofluoric acid with a content of 1 to 200 ppm and water. The semiconductor process can reduce the possibility of having an incomplete turning on, a leakage or a short, so that the yield of the product is increased.
    Type: Grant
    Filed: June 16, 2011
    Date of Patent: March 20, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Chang-Hsiao Lee, Shih-Fang Tzou, Ming-Da Hsieh, Yu-Tsung Lai, Jyh-Cherng Yau, Jiunn-Hsiung Liao
  • Publication number: 20120065116
    Abstract: Disclosed is a cleaning liquid which is capable of cleaning an object to be cleaned, to the surface of which cerium oxide adheres, by dissolving and removing cerium oxide in the form of cerium ions. A cleaning method using the cleaning liquid is also disclosed. The cleaning liquid for removing cerium oxide is characterized by containing hydrogen fluoride, at least one acid selected from the group consisting of hydrochloric acid, nitric acid, sulfuric acid, acetic acid, phosphoric acid, iodic acid and hydrobromic acid, and water. The cleaning liquid is also characterized by dissolving and removing cerium oxide in the form of cerium ions.
    Type: Application
    Filed: May 21, 2009
    Publication date: March 15, 2012
    Applicant: STELLA CHEMIFA CORPORATION
    Inventors: Masayuki Miyashita, Takanobu Kujime, Keiichi Nii, Masashi Yamamoto
  • Patent number: 8128755
    Abstract: Disclosed are cleaning solvents and cleaning methods for metallic compounds deposited on the equipment that supplies organometallic compounds to the manufacturing tool in the photovoltaic industry or the semiconductor industry. The cleaning solvents and the cleaning methods disclosed not only selectively remove the metallic compound without corroding the equipment, but also improve the ordinary cleaning process. Moreover, the cleaning solvents and the cleaning methods disclosed improve maintenance costs for the supply system because the equipment may be cleaned without being detached from the supply system.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: March 6, 2012
    Assignee: L'Air Liquide Societe Anonyme pour l'Etude Et L'Exploitation des Procedes Georges Claude
    Inventor: Yoichi Sakata
  • Patent number: 8129322
    Abstract: A photosensitive-resin remover composition includes an amine compound and de-ionized water, an amount of the de-ionized water being about 45% to about 99% by weight based on a total weight of the composition.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: March 6, 2012
    Assignees: Samsung Electronics Co., Ltd., Techno Semichem Co., Ltd.
    Inventors: Ahn-Ho Lee, Junghun Lim, Young Taek Hong, Hyuntak Kim, Seonghwan Park, Baiksoon Choi, Seunghyun Ahn, Byungil Lee
  • Publication number: 20120048295
    Abstract: This disclosure relates to compositions that can be used to remove residues from a semiconductor substrate.
    Type: Application
    Filed: March 9, 2010
    Publication date: March 1, 2012
    Applicant: FUJIFILM ELECTRONIC MATERIALS U.S.A., INC.
    Inventors: Bing Du, William A. Wojtczak, Stanley A. Ficner
  • Publication number: 20120052686
    Abstract: A cleaning solution is provided. The cleaning solution includes a fluorine containing compound, an inorganic acid, a chelating agent containing a carboxylic group and water for balance. The content of the fluorine containing compound is 0.01-0.5 wt % of. The content of the inorganic acid is 1-5 wt %.
    Type: Application
    Filed: November 10, 2011
    Publication date: March 1, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: An-Chi Liu, Tien-Cheng Lan
  • Publication number: 20120042898
    Abstract: Compositions useful in reworking microelectronic device wafers, i.e., removing photoresist from rejected wafers, without damaging underlying layers and structures such as cap layers, interlevel dielectric layers, etch stop layers and metal interconnect material. The semi-aqueous compositions include at least one alkali and/or alkaline earth metal basic salt, at least one organic solvent, water, optionally at least one quaternary ammonium basic salt, optionally at least one metal corrosion inhibitor and optionally at least one water-soluble polymer surfactant.
    Type: Application
    Filed: November 1, 2011
    Publication date: February 23, 2012
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Pamela M. Visintin, Michael B. Korzenski
  • Publication number: 20120040529
    Abstract: A liquid composition comprising (A) at least one polar organic solvent, selected from the group consisting of solvents exhibiting in the presence of from 0.06 to 4% by weight of dissolved tetramethylammonium hydroxide (B), the weight percentage being based on the complete weight of the respective test solution (AB), a constant removal rate at 50° C. for a 30 nm thick polymeric barrier anti-reflective layer containing deep UV absorbing chromophoric groups, (B) at least one quaternary ammonium hydroxide, and (C) at least one aromatic amine containing at least one primary amino group, a method for its preparation and a method for manufacturing electrical devices, employing the liquid composition as a resist stripping composition and its use for removing negative-tone and positive-tone photoresists and post etch residues in the manufacture of 3D Stacked Integrated Circuits and 3D Wafer Level Packagings by way of patterning Through Silicon Vias and/or by plating and bumping.
    Type: Application
    Filed: April 20, 2010
    Publication date: February 16, 2012
    Applicant: BASF SE
    Inventor: Andreas Klipp
  • Patent number: 8114220
    Abstract: A method and composition for removing bulk and ion-implanted photoresist and/or post-etch residue material from densely patterned microelectronic devices is described. The composition includes a co-solvent, a chelating agent, optionally an ion pairing reagent, and optionally a surfactant. The composition may further include dense fluid. The compositions effectively remove the photoresist and/or post-etch residue material from the microelectronic device without substantially over-etching the underlying silicon-containing layer(s) and metallic interconnect materials.
    Type: Grant
    Filed: April 14, 2006
    Date of Patent: February 14, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Pamela M. Visintin, Michael B. Korzenski, Thomas H. Baum
  • Patent number: 8114825
    Abstract: Disclosed is a photoresist stripping solution consisting essentially of (a) a quaternary ammonium hydroxide (e.g., tetramethylammonium hydroxide), (b) at least one water-soluble organic solvent selected from glycols and glycol ethers (e.g., propylene glycol, ethylene glycol, diethylene glycol monobutyl ether), and (c) a non-amine water-soluble organic solvent (e.g., dimethyl sulfoxide, N-methyl-2-pyrrolidone). The photoresist stripping solution of the invention has an excellent photoresist strippability, not causing damage of swelling/coloration to acrylic transparent films used in production of liquid-crystal panels and not causing damage to electrode materials. In particular, it has an excellent photoresist strippability to remove even a thick-film negative photoresist (photosensitive dry film) used in production of semiconductor chip packages (especially, wafer-level chip size packages, W-CSP), not causing damage to copper.
    Type: Grant
    Filed: September 30, 2009
    Date of Patent: February 14, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Shigeru Yokoi, Atsushi Yamanouchi
  • Patent number: 8114773
    Abstract: A cleaning solution is provided. The cleaning solution includes (a) 0.01-0.1 wt % of hydrofluoric acid (HF); (b) 1-5 wt % of a strong acid, wherein the strong acid is an inorganic acid; (c) 0.05-0.5 wt % of ammonium fluoride (NH4F); (d) a chelating agent containing a carboxylic group; (e) triethanolamine (TEA); (f) ethylenediaminetetraacetic acid (EDTA); and (g) water for balance.
    Type: Grant
    Filed: July 6, 2010
    Date of Patent: February 14, 2012
    Assignee: United Microelectronics Corp.
    Inventors: An-Chi Liu, Tien-Cheng Lan
  • Patent number: 8110534
    Abstract: To provide a cleaning solution for a substrate for a semiconductor device which is excellent in the ability to remove particles, organic contaminants, metal contaminants and composite contaminants of an organic matter and a metal attached on a substrate surface, whereby the substrate surface can be highly cleaned, without being corroded. Particularly, to provide a cleaning solution which is excellent in the ability to clean low dielectric constant (Low-k) materials on which liquid is easily repelled due to hydrophobic and of which the ability to remove particles is poor. A cleaning solution for a substrate for a semiconductor device, which comprises the following components (A) and (B): (A) an organic acid (B) a nonionic surfactant having an HLB value of from 5 to less than 13.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: February 7, 2012
    Assignee: Mitsubishi Chemical Corporation
    Inventors: Yasuhiro Kawase, Makoto Ikemoto, Atsushi Itou, Makoto Ishikawa
  • Patent number: 8110535
    Abstract: The present invention relates to semi-aqueous formulations and the method using same, to remove bulk photoresists, post-etched and post-ashed residues, as well as contaminations. The formulation comprises: an alkanolamine, a water miscible organic co-solvent, a quarternary ammonium compound, a non-free acid functionality corrosion inhibitor, and remainder water. The pH is greater than 9.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: February 7, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Matthew I. Egbe
  • Publication number: 20120028870
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of amine and ammonium-containing compounds, e.g., quaternary ammonium bases. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Application
    Filed: February 19, 2010
    Publication date: February 2, 2012
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Jeffrey A. Barnes, Jun Liu, Peng Zhang
  • Patent number: 8105997
    Abstract: The embodiments provide substrate cleaning techniques to remove contaminants from the substrate surface to improve device yield. The substrate cleaning techniques utilize a cleaning material with solid components and polymers with a large molecular weight dispersed in a cleaning liquid to form the cleaning material, which is fluidic. The solid components remove contaminants on the substrate surface by making contact with the contaminants. The polymers with large molecular weight form polymer chains and a polymeric network that capture and entrap solids in the cleaning materials, which prevent solids from falling on the substrate surface. In addition, the polymers can also assist in removing contaminants form the substrate surface by making contacts with contaminants on the substrate surface. In one embodiment, the cleaning material glides around protruding features on the substrate surface without making a forceful impact on the protruding features to damage them.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: January 31, 2012
    Assignee: Lam Research Corporation
    Inventors: Ji Zhu, Arjun Mendiratta, David Mui
  • Patent number: 8105998
    Abstract: Provided is a liquid composition for, at a low temperature in a short time, removing a photoresist residue and a polymer residue generated in a semiconductor circuit element manufacturing process A residue removing method using such composition is also provided. The composition removes the photoresist residue and/or the polymer residue generated in the manufacturing process of a semiconductor circuit element having a metal wiring. The composition includes a fluorine compound of 0.5-3.0 mass % and water not over 30 mass %, and has a pH of 4 or less.
    Type: Grant
    Filed: October 24, 2007
    Date of Patent: January 31, 2012
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventor: Takuo Ohwada
  • Publication number: 20120021961
    Abstract: The present invention relates to a composition for post chemical-mechanical polishing (CMP) cleaning. The composition is alkaline, which can remove azole-type corrosion inhibitors on the wafer surface after CMP. This composition can effectively remove azole compounds, increase wettability of the Cu surface, and significantly improve the defect removal after CMP.
    Type: Application
    Filed: January 6, 2010
    Publication date: January 26, 2012
    Applicant: BASF SE
    Inventors: Andreas Klipp, Ting Hsu Hung, Kuochen Su, Sheng-Hung Tu
  • Patent number: 8101561
    Abstract: The present invention is directed to compositions and method of use for treating semiconductor substrate comprising a sulfonium compound and a nucleophilic amine in the fabrication of electronic devices. Optionally, the said composition further comprises a chelating agent, and solvent. The pH of the said solution can be adjusted with the addition of acid or base. The semiconductor manufacturing processes include steps for post etch residue, photoresist removal and steps during chemical mechanical planarization and post chemical mechanical planarization.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: January 24, 2012
    Inventor: Wai Mun Lee
  • Patent number: 8097575
    Abstract: A method and new use of an aqueous composition including a surfactant and a buffering agent, wherein the new use and method include the steps of applying the composition to a surface with an acidic finish, etching the surface, and removing the aqueous composition. The removal may be by evaporation. A new finish may be applied to the surface. Methods are disclosed for the cleaning and neutralizing of an existing finish to allow for the application of a new finish. Also disclosed are compositions for the cleaning and neutralizing of an existing finish without complete removal of the existing finish. The compositions include a buffering agent configured to neutralize the existing finish, and a surfactant.
    Type: Grant
    Filed: July 22, 2005
    Date of Patent: January 17, 2012
    Assignee: Harris Research, Inc.
    Inventor: Edward E. Durrant
  • Patent number: 8097089
    Abstract: A method is provided for recovering a metal from electronic device deposition equipment including: providing deposition equipment wherein the deposition equipment is at least partially coated with a deposited metal; blasting the deposition equipment with a grit to remove at least some of the deposited metal to form a blasted grit and a removed metal; and separating at least some of the removed metal from the blasted grit to form a recovered metal.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: January 17, 2012
    Assignee: Quantum Global Technologies LLC
    Inventors: Samantha S. H. Tan, Jianqi Wang
  • Publication number: 20120009788
    Abstract: A cleaning solution is provided. The cleaning solution includes (a) 0.01-0.1 wt % of hydrofluoric acid (HF); (b) 1-5 wt % of a strong acid, wherein the strong acid is an inorganic acid; (c) 0.05-0.5 wt % of ammonium fluoride (NH4F); (d) a chelating agent containing a carboxylic group; (e) triethanolamine (TEA); (f) ethylenediaminetetraacetic acid (EDTA); and (g) water for balance.
    Type: Application
    Filed: July 6, 2010
    Publication date: January 12, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: An-Chi Liu, Tien-Cheng Lan
  • Publication number: 20110311921
    Abstract: A composition comprising one or more water soluble organic solvents comprising a glycol ether; water; a fluoride containing compound provided that if the fluoride containing compound is ammonium fluoride than no additional fluoride containing compound is added to the composition; optionally a quaternary ammonium compound; and optionally a corrosion inhibitor is disclosed herein that is capable of removing residues from an article such as photoresist and/or etching residue. Also disclosed herein is a method for removing residues from an article using the composition disclosed herein.
    Type: Application
    Filed: August 25, 2011
    Publication date: December 22, 2011
    Applicant: Air Products and Chemicals, Inc.
    Inventors: Matthew I. Egbe, Michael Walter Legenza, Thomas Michael Wieder, Jennifer May Rieker
  • Patent number: 8080505
    Abstract: The present invention is related to a slurry composition for polishing copper integrated with tungsten containing barrier layers and its use in a CMP method. The present invention is also related to a method for polishing copper integrated with tungsten containing barrier layers by means of an aqueous solution containing abrasive particles, an inorganic acid such as HNO3 as etchant for copper that prevents galvanic corrosion of the tungsten containing metal barrier and at least one organic compound to provide sufficient copper corrosion inhibition.
    Type: Grant
    Filed: June 8, 2009
    Date of Patent: December 20, 2011
    Assignee: IMEC
    Inventors: Didem Ernur, Valentina Terzieva, Jörg Schuhmacher
  • Patent number: 8075803
    Abstract: The invention relates to a method for producing ionic liquids and ionic solids which takes recourse to a novel, especially modular production process. According to the inventive method, those salts from an ionic carbonate, hydrocarbonate or monoalkyl or monoaryl carbonate precursor (cationic synthesis module) are produced that comprise the desired quaternary ammonium, phosphonium, sulfonium or the analogous quaternary heteroaromatic cation. This precursor can be produced and stored efficiently and with a high degree of purity.
    Type: Grant
    Filed: August 19, 2004
    Date of Patent: December 13, 2011
    Inventor: Roland Kalb
  • Patent number: 8075697
    Abstract: A method and cleaning solution for cleaning electronic substrates, such as a semiconductor wafers, hard disks, photomasks or imprint molds. The method comprises the steps of contacting a surface of the substrate with a cleaning solution comprised of a polyphosphate, and then removing the cleaning solution from the surface. Additional optional steps include applying acoustic energy to the cleaning solution while the cleaning solution is in contact with the surface, and removing the cleaning solution from the surface by rinsing the surface with a rinsing solution with or without the application of acoustic energy. The cleaning solution comprises a polyphosphate, such as any of the water soluble polyphosphates. Depending on the application, the cleaning solution may also comprise a base and/or a quantity of suspended particles. Complexing agents, amines, biocides, surfactants and/or other substances, may also be added to the cleaning solution.
    Type: Grant
    Filed: February 7, 2008
    Date of Patent: December 13, 2011
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck