For Printed Or Integrated Electrical Circuit, Or Semiconductor Device Patents (Class 510/175)
  • Publication number: 20130045908
    Abstract: A semiconductor processing composition and method for removing photoresist, polymeric materials, etching residues and copper oxide from a substrate comprising copper, low-k dielectric material and TiN, TiNxOy or W wherein the composition includes water, a Cu corrosion inhibitor, at least one halide anion selected from Cl? or Br?, and, where the metal hard mask comprises TiN or TiNxOy, at least one hydroxide source.
    Type: Application
    Filed: August 15, 2011
    Publication date: February 21, 2013
    Inventor: Hua Cui
  • Publication number: 20130045597
    Abstract: [Problems] An object of the present invention is to provide a cleaning liquid composition which removes residual liquid and contaminants after chemical-mechanical polishing (CMP) of the surface of a semiconductor substrate in the production process of a semiconductor circuit device; and a cleaning method using the cleaning liquid composition. [Means for Solution] The cleaning liquid composition according to the present invention comprises a quaternary ammonium hydroxide, 1-ethinyl-1-cyclohexanol, a complexing agent, diethylenetriamine pentamethylene phosphonate and water and has a pH of 9 to 13. By cleaning a wiring material with the cleaning liquid composition according to the present invention, the wiring material can be protected against contamination, corrosion, oxidation and generation of foreign substance that are originated from the production process of a semiconductor circuit device or the environment, so that a clean wiring surface can be obtained.
    Type: Application
    Filed: October 18, 2011
    Publication date: February 21, 2013
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Kyoko Kamada, Kenji Yamada, Hiroshi Matsunaga
  • Patent number: 8377219
    Abstract: A method for cleaning a semiconductor wafer composed of silicon directly after a process of chemical mechanical polishing of the semiconductor wafer includes transferring the semiconductor wafer from a polishing plate to a first cleaning module and spraying both side surfaces of the semiconductor wafer with water at a pressure no greater than 1000 Pa at least once while transferring the semiconductor wafer. The semiconductor wafer is then cleaned between rotating rollers with water. The side surfaces of the semiconductor wafer are sprayed with an aqueous solution containing hydrogen fluoride and a surfactant at a pressure no greater than 70,000 Pa. Subsequently, the side surfaces are sprayed with water at a pressure no greater than 20,000 Pa. The wafer is then dipped into an aqueous alkaline cleaning solution, and then cleaned between rotating rollers with a supply of water. The semiconductor wafer is then sprayed with water and dried.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: February 19, 2013
    Assignee: Siltronic AG
    Inventor: Reinhold Lanz
  • Patent number: 8372792
    Abstract: The object of the present invention is to provide a novel cleaner composition that not only reduces ignition by flame and has a small influence on the environment, but that also has an excellent property of dissolving flux residues adhered on narrow portions or in narrow gaps in an object to be cleaned that was subjected to soldering with a lead-free solder, and reduces recontamination of the object in the water-rinsing process. The present invention uses a halogen-free organic solvent (A) represented by a specific Formula; an amine-based compound (B) represented by a specific Formula; a chelating agent having no amino group (C); and, as required, water.
    Type: Grant
    Filed: August 8, 2008
    Date of Patent: February 12, 2013
    Assignee: Arakawa Chemical Industries, Ltd.
    Inventors: Takashi Tanaka, Kazutaka Zenfuku
  • Publication number: 20130032296
    Abstract: A cleaning composition for removing temporary wafer bonding material is provided. The cleaning composition comprises an alkylarylsulfonic acid and an aliphatic alcohol dispersed or dissolved in a hydrocarbon solvent system. Methods of separating bonded substrates and cleaning debonded substrates using the cleaning composition are also provided. The invention is particularly useful for temporary bonding materials and adhesives. The methods generally comprise contacting the bonding material with the cleaning solution for time periods sufficient to dissolve the desired amount of bonding material for separation and/or cleaning of the substrates.
    Type: Application
    Filed: August 2, 2011
    Publication date: February 7, 2013
    Applicant: BREWER SCIENCE INC.
    Inventor: Xing-Fu Zhong
  • Publication number: 20130035272
    Abstract: Semiconductor processing compositions comprising amidoxime compounds having two or more amidoxime functional groups and their use in semiconductor processing to remove photoresist, polymeric materials, etching residues and copper oxides from semiconductor substrates, particularly substrates comprising copper, low-k dielectric material, titanium nitride, and/or titanium oxynitride.
    Type: Application
    Filed: January 19, 2012
    Publication date: February 7, 2013
    Inventors: Wai Mun Lee, Hua Cui, Mark A. Scialdone, Albert G. Anderson
  • Patent number: 8367594
    Abstract: A system, method and an apparatus to remove contaminants from a semiconductor substrate surface includes application of a cleaning material. The cleaning material includes a cleaning solution and a plurality of micron-sized dry polyvinyl particles dispersed in the cleaning solution. The cleaning solution is a single phase polymeric compound that is made of long polymeric chains and exhibits distinct viscoelastic properties. The plurality of micron-sized dry polyvinyl alcohol particles absorb the liquid in the cleaning solution and become uniformly suspended within the cleaning material. The suspended polyvinyl alcohol particles interact with at least some of contaminants on the semiconductor substrate surface to release and remove the contaminants from the substrate surface. The released contaminants are entrapped within the cleaning material and removed with the cleaning material leaving behind a substantially clean substrate surface.
    Type: Grant
    Filed: June 24, 2009
    Date of Patent: February 5, 2013
    Assignee: LAM Research Corporation
    Inventor: Katrina Mikhaylichenko
  • Patent number: 8367312
    Abstract: Conventional detergents for lithography which contain a surfactant as an active ingredient should have a reduced surfactant concentration because heightened surfactant concentrations result in dissolution of the resin component of a photoresist composition and hence in a dimensional change of a resist pattern. However, the conventional detergents have had a drawback that such a low concentration unavoidably reduces the ability to inhibit pattern falling and defect occurrence. A detergent for lithography is provided which is an aqueous solution containing (A) at least one member selected among nitrogenous cationic surfactants and nitrogenous ampholytic surfactants and (B) an anionic surfactant. This detergent retains a low surface tension even when it has a low concentration. It is effective in inhibiting pattern falling and defect occurrence. It can also inhibit resist patterns from fluctuating in dimension.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: February 5, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Yoshihiro Sawada, Kazumasa Wakiya, Jun Koshiyama, Hidekazu Tajima, Atsushi Miyamoto, Tomoya Kumagai, Atsushi Sawano
  • Patent number: 8361237
    Abstract: The present invention is a formulation for wet clean removal of post etch and ash residue from a semiconductor substrate having a CoWP feature, comprising; Deionized water; Organic acid; Amine and/or quaternary ammonium hydroxide; wherein the formulation is compatible with the CoWP feature and either (a) the molar ratio of amine and/or quaternary ammonium hydroxide to organic acid provides a pH in the range of 7-14; or (b) the formulation includes a corrosion inhibitor. A method of using the formulation is also described.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: January 29, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, Madhukar Bhaskara Rao, Eugene C. Baryschpolec
  • Patent number: 8357646
    Abstract: The present invention, in a preferred embodiment, is a photoresist stripper formulation, comprising: Hydroxylamine ; Water; a solvent selected from the group consisting of dimethylsulfoxide; N-methylpyrrrolidine; dimethylacetamide; dipropylene glycol monomethyl ether; monoethanolamine and mixtures thereof; a base selected from the group consisting of choline hydroxide, monoethanolamine, tetramethylammonium hydroxide; aminoethylethanolamine and mixtures thereof; a metal corrosion inhibitor selected from the group consisting of catechol, gallic acid, lactic acid, benzotriazole and mixtures thereof; and a bath life extending agent selected from the group consisting of glycerine, propylene glycol and mixtures thereof. The present invention is also a method for using formulations as exemplified in the preferred embodiment.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: January 22, 2013
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Wen Dar Liu, Yi Chia Lee, Archie Liao, Madhukar Bhaskara Rao, Matthew I. Egbe, Chimin Sheu, Michael Walter Legenza
  • Patent number: 8354365
    Abstract: Provided are a cleaning liquid for lithography that exhibits excellent corrosion suppression performance in relation to ILD materials, and excellent removal performance in relation to a resist film and a bottom antireflective coating film, and a method for forming a wiring using the cleaning liquid for lithography. The cleaning liquid for lithography according to the present invention includes a quaternary ammonium hydroxide, a water soluble organic solvent, water, and an inorganic base. The water soluble organic solvent contains a highly polar solvent having a dipole moment of no less than 3.0 D, a glycol ether solvent and a polyhydric alcohol, and the total content of the highly polar solvent and the glycol ether solvent is no less than 30% by mass relative to the total mass of the liquid for lithography.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: January 15, 2013
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takuya Ohhashi, Masaru Takahama, Takahiro Eto, Daijiro Mori, Shigeru Yokoi
  • Publication number: 20130004435
    Abstract: The present invention relates, in part, to ternary azeotropic compositions and mixtures including chlorotrifluoropropene, methanol, and a third component selected from isohexane, trans-1,2-dichloroethylene, and petroleum ether. The present invention further relates to ternary azeotropic compositions and mixtures including chlorotrifluoropropene, cyclopentane, and a alcohol selected from methanol, ethanol, and isopropanol.
    Type: Application
    Filed: August 23, 2012
    Publication date: January 3, 2013
    Applicant: HONEYWELL INTERNATIONAL INC.
    Inventors: Kane D. COOK, Rajat S. BASU, Ryan HULSE
  • Patent number: 8337624
    Abstract: A method and cleaning solution for cleaning electronic substrates, such as a semiconductor wafers, hard disks, photomasks or imprint molds. The method comprises the steps of contacting a surface of the substrate with a cleaning solution comprised of a polyphosphate, and then removing the cleaning solution from the surface. Additional optional steps include applying acoustic energy to the cleaning solution while the cleaning solution is in contact with the surface, and removing the cleaning solution from the surface by rinsing the surface with a rinsing solution with or without the application of acoustic energy. The cleaning solution comprises a polyphosphate, such as any of the water soluble polyphosphates. Depending on the application, the cleaning solution may also comprise a base and/or a quantity of suspended particles. Complexing agents, amines, biocides, surfactants and/or other substances, may also be added to the cleaning solution.
    Type: Grant
    Filed: December 11, 2011
    Date of Patent: December 25, 2012
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 8338350
    Abstract: A microelectronic photoresist cleaning composition suitable for cleaning multi-metal microelectronic devices and to do so without any substantial or significant galvanic corrosion occurring when there is a subsequent rinsing step employing water.
    Type: Grant
    Filed: October 22, 2009
    Date of Patent: December 25, 2012
    Assignee: Avantor Performance Materials Inc.
    Inventor: Seiji Inaoka
  • Patent number: 8324143
    Abstract: Provided is a cleaning agent for electronic materials, which enables very efficient advanced cleaning such that yield in the production of the electronic materials is improved and cleaning in a short period of time becomes possible, the cleaning agent having excellent cleaning power for fine-grained particles and organic matter and being able to reduce metallic contamination on the substrate. The cleaning agent for electronic materials comprises sulfamic acid (A), an anionic surfactant having at least one sulfonic acid group or a salt thereof in the molecule (B), a chelating agent (C), and water, wherein the pH at 25 C is preferably not more than 3.0 and the (B) is preferably a polymeric anionic surfactant (B1) having a weight average molecular weight of 1,000 to 2,000,000.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: December 4, 2012
    Assignee: Sanyo Chemical Industries, Ltd.
    Inventors: Kazumitsu Suzuki, Shohei Sato, Ayayo Sugiyama
  • Publication number: 20120298158
    Abstract: Several embodiments of cleaning systems using polyelectrolyte and various associated methods for cleaning microelectronic substrates are disclosed herein. One embodiment is directed to a system that has a substrate support for holding the microelectronic substrate, a dispenser positioned above the substrate support and facing a surface of the microelectronic substrate, a reservoir in fluid communication with the dispenser via a conduit, and a washing solution contained in the reservoir. The washing solution includes a polyelectrolyte.
    Type: Application
    Filed: August 8, 2012
    Publication date: November 29, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Joseph N. Greeley, Nishant Sinha, Lukasz Hupka, Timothy A. Quick, Prashant Raghu
  • Patent number: 8314055
    Abstract: The embodiments of the present invention provide improved materials, apparatus, and methods for cleaning wafer surfaces, especially surfaces of patterned wafers (or substrates). The cleaning materials, apparatus, and methods discussed have advantages in cleaning patterned substrates with fine features without substantially damaging the features. The cleaning material includes polymers of one or more polymeric compounds. The cleaning materials can be used in a wide range of viscosity and pH to clean different types of surfaces. The cleaning materials are in liquid phase, and deform around device features to capture the contaminants on the substrate. The polymers entrap the contaminants preventing their return to the substrate surface. The cleaning apparatus is designed to dispense and rinse cleaning materials with a range of viscosities.
    Type: Grant
    Filed: July 15, 2009
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventors: David S. L. Mui, Ji Zhu, Arjun Mendiratta
  • Patent number: 8309502
    Abstract: Compositions and methods useful for the removal of organic substances from substrates, for example, electronic device substrates such as microelectronic wafers or flat panel displays, are provided. A method is presented which applies a minimum volume of the composition as a coating to the inorganic substrate whereby sufficient heat is added and immediately rinsed with water to achieve complete removal. These compositions and methods are particularly suitable for removing and completely dissolving photoresists of the positive and negative varieties as well as thermoset polymers from electronic devices.
    Type: Grant
    Filed: March 2, 2010
    Date of Patent: November 13, 2012
    Assignee: Eastman Chemical Company
    Inventors: Michael Wayne Quillen, Dale Edward O'Dell, Zachary Philip Lee, John Cleaon Moore, Edward Enns McEntire
  • Publication number: 20120283163
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions include novel corrosion inhibitors. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Application
    Filed: October 20, 2009
    Publication date: November 8, 2012
    Applicant: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Jeffrey A. Barnes, Brian Benac, Karl E. Boggs, Lin Feng, Jun Liu, Melissa A. Petruska, Xiaodong Yan, Peng Zhang
  • Publication number: 20120275119
    Abstract: The invention concerns a method of removing encapsulating material from encapsulated particles deposited onto a substrate. According to the method, a substrate is used which is capable of facilitating said removal of encapsulating material. The particles may be nanoparticles. In particular, the substrate-facilitated removal may result in sintering of the particles. The invention provides a novel way of functionalizing electronic structures using particulate matter and for conveniently producing e.g. printed electronics devices.
    Type: Application
    Filed: November 15, 2010
    Publication date: November 1, 2012
    Applicant: TEKNOLOGIAN TUTKIMUSKESKUS VTT
    Inventors: Mark Allen, Jaakko Leppäniemi, Tomi Mattila
  • Publication number: 20120273010
    Abstract: A composition of matter and method to remove excess material during the manufacturing of semiconductor devices includes providing a substrate; applying a metal chelator mixture to the substrate, where the metal chelator mixture comprising a metal chelator and a solvent, where the metal chelator binds to the platinum residue, to render the platinum residue soluble; and rinsing the metal chelator mixture from the substrate to remove the platinum residue from the silicide.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Applicant: Intermolecular, Inc.
    Inventor: Anh Duong
  • Patent number: 8293694
    Abstract: A semiconductor wafer cleaning formulation, including 1-35% wt. fluoride source, 20-60% wt. organic amine(s), 0.1-40% wt. nitrogenous component, e.g., a nitrogen-containing carboxylic acid or an imine, 20-50% wt. water, and 0-21% wt. metal chelating agent(s). The formulations are useful to remove residue from wafers following a resist plasma ashing step, such as inorganic residue from semiconductor wafers containing delicate copper interconnecting structures.
    Type: Grant
    Filed: October 19, 2009
    Date of Patent: October 23, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: William A. Wojtczak, Ma. Fatimo Seijo, David Bernhard, Long Nguyen
  • Patent number: 8293021
    Abstract: A method of conditioning the surface of a work piece, in particular of a litho-strip or litho-sheet, consisting of an aluminum alloy enables an increase in manufacturing speed in surface roughening while maintaining a high quality of the electro-chemical grained surface of the work piece with relative low effort related to facility equipment. The method of conditioning comprises at least the step of degreasing the surface of the work piece with a degreasing medium, wherein the degreasing medium contains at least 1.5 to 3% by weight of a composite of 5-40% sodium tripolyphosphate, 3-10% sodium gluconate, 3-8% of a composite of non-ionic and anionic surfactants and optionally 0.5 to 70% soda, wherein sodium hydroxide is added to the degreasing medium such that the concentration of sodium hydroxide in the aqueous degreasing medium is 0.01 to 5% by weight.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: October 23, 2012
    Assignee: Hydro Aluminium Deutschalnd GmbH
    Inventors: Bernhard Kernig, Henk-Jan Brinkman
  • Patent number: 8288282
    Abstract: Disclosed is a metal-polishing liquid comprising: a metal-oxide-dissolving agent; a metal-oxidizing agent; a metal anticorrosive; a water-soluble polymer having a weight-average molecular weight of 8,000 or higher and having an anionic functional group and a nonionic functional group; and water, and having a pH within the range of 2.5 or higher but 5.0 or less. The metal-polishing liquid is effective in reducing the frictional force in polishing which generates during CMP. And is highly effective in flattening the surface of a work to be polished.
    Type: Grant
    Filed: July 28, 2008
    Date of Patent: October 16, 2012
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Yutaka Nomura, Masato Fukasawa, Hiroshi Nakagawa
  • Patent number: 8288330
    Abstract: The present invention is a composition for removal of multi-layer photoresist layers on an electronic device substrate for rework of the photoresist on the substrate, comprising; (i) a solvent blend of at least three discrete solvents, (ii) at least one organic sulfonic acid, and (iii) at least one corrosion inhibitor. The present invention is also a method for using the composition. This composition and method succeed in removing such multi-layer photoresist at temperatures less than 65° C. and in contact times under three minutes, allowing high throughput on single wafer tools.
    Type: Grant
    Filed: April 23, 2007
    Date of Patent: October 16, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Aiping Wu, John Anthony Marsella
  • Publication number: 20120244705
    Abstract: A post-W CMP cleaning solution consists of carboxylic acid and deionized water. The carboxylic acid may be selected from the group consisting of (1) monocarboxylic acids; (2) dicarboxylic acids; (3) tricarboxylic acids; (4) polycarboxylic acids; (5) hydroxycarboxylic acids; (6) salts of the above-described carboxylic acids; and (7) any combination thereof. The post-W CMP cleaning solution can work well without adding any other chemical additives such as surfactants, corrosion inhibitors, pH adjusting agents or chelating agents.
    Type: Application
    Filed: March 23, 2011
    Publication date: September 27, 2012
    Inventors: Hongqi LI, ANURAG JINDAL, Jin Lu
  • Patent number: 8257504
    Abstract: A surface treatment composition of this invention is a composition for treating a metal wiring-including surface of a semiconductor substrate, which includes a compound (A) represented by a specific structural formula and a solvent (B) having a boiling point at one atmospheric pressure of 50 to 300° C., and has a pH of 4 to 11. According to the surface treatment composition of the present invention, oxidation of metal wiring of a semiconductor substrate can be suppressed and deterioration of the flatness of the metal wiring portion due to unusual oxidation can be suppressed. Furthermore, when an insulating film or a barrier metal film is present on a metal wiring-including surface of the semiconductor substrate, fang and surface roughness of the metal wiring occurring in the interface between the metal wiring and the insulating film or the barrier metal film can be suppressed.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: September 4, 2012
    Assignees: JSR Corporation, Kabushiki Kaisha Toshiba
    Inventors: Yasumasa Mori, Hirotaka Shida, Kazuo Kawaguchi, Hiroyuki Yano, Mie Matsuo
  • Patent number: 8252119
    Abstract: Several embodiments of cleaning systems using polyelectrolyte and various associated methods for cleaning microelectronic substrates are disclosed herein. One embodiment is directed to a system that has a substrate support for holding the microelectronic substrate, a dispenser positioned above the substrate support and facing a surface of the microelectronic substrate, a reservoir in fluid communication with the dispenser via a conduit, and a washing solution contained in the reservoir. The washing solution includes a polyelectrolyte.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: August 28, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Joseph N. Greeley, Nishant Sinha, Lukasz Hupka, Timothy A. Quick, Prashant Raghu
  • Publication number: 20120211025
    Abstract: A process for cleaning a wafer having an uneven pattern at its surface. The process includes at least the steps of: cleaning the wafer with a cleaning liquid; substituting the cleaning liquid retained in recessed portions of the wafer with a water-repellent liquid chemical after cleaning; and drying the wafer, wherein the cleaning liquid contains 80 mass % or greater of a solvent having a boiling point of 55 to 200° C., and wherein the water-repellent liquid chemical supplied in the substitution step has a temperature of not lower than 40° C. and lower than a boiling point of the water-repellent liquid chemical thereby imparting water repellency at least to surfaces of the recessed portions.
    Type: Application
    Filed: January 13, 2012
    Publication date: August 23, 2012
    Applicant: Central Glass Company, Limited
    Inventors: Soichi KUMON, Takashi SAIO, Shinobu ARATA, Masanori SAITO, Hidehisa NANAI, Yoshinori AKAMATSU
  • Publication number: 20120214294
    Abstract: A method for manufacturing a compound semiconductor device, the method includes: forming a compound semiconductor laminated structure; removing a part of the compound semiconductor laminated structure, so as to form a concave portion; and cleaning the inside of the concave portion by using a detergent, wherein the detergent contains a base resin compatible with residues present in the concave portion and a solvent.
    Type: Application
    Filed: February 10, 2012
    Publication date: August 23, 2012
    Applicant: FUJITSU LIMITED
    Inventor: Junichi KON
  • Publication number: 20120214722
    Abstract: There are provided a processing liquid for suppressing pattern collapse of a fine metal structure, containing at least one member selected from the group consisting of an ammonium halide having a fluoroalkyl group, a betaine compound having a fluoroalkyl group, and an amine oxide compound having a fluoroalkyl group, and a method for producing a fine metal structure using the same.
    Type: Application
    Filed: October 19, 2010
    Publication date: August 23, 2012
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY INC.
    Inventors: Masaru Ohto, Hiroshi Matsunaga, Kenji Yamada
  • Publication number: 20120205345
    Abstract: There are provided a processing liquid for suppressing pattern collapse of a fine metal structure, containing a pattern collapse suppressing agent that has a hydrocarbyl group containing any one of an alkyl group and an alkenyl group, both of which may be substituted partly or entirely by a fluorine atom, and contains an oxyethylene structure, and a method for producing a fine metal structure using the same.
    Type: Application
    Filed: October 19, 2010
    Publication date: August 16, 2012
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Masaru Ohto, Hiroshi Matsunaga, Kenji Yamada
  • Patent number: 8242067
    Abstract: A cleaning compound is disclosed for removing particulate contaminants from a semiconductor substrate surface. The cleaning compound includes a liquid and carboxylic acid solid components dispersed in a substantially uniform manner in the liquid. A concentration of the carboxylic acid solid components in the liquid exceeds a solubility limit of the carboxylic acid solid components in the liquid. In one embodiment, a concentration of the carboxylic acid solid components in the liquid is within a range extending from about 3 percent by weight to about 5 percent by weight. In one embodiment, the carboxylic acid solid components are defined by a carbon number of at least four. The carboxylic acid solid components are defined to interact with the particulate contaminants on the semiconductor substrate surface to remove the particulate contaminants from the semiconductor substrate surface. The cleaning compound is viscous and may be formed as a gel.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: August 14, 2012
    Assignee: Lam Research Corporation
    Inventors: Mikhail Korolik, Erik M. Freer, John M. de Larios, Katrina Mikhaylichenko, Mike Ravkin, Fritz Redeker
  • Publication number: 20120187336
    Abstract: Method of using improved compositions for conditioning silicon surfaces during the manufacture of photovoltaic devices. Used for removing particles, organic contamination, and unwanted metals from these surfaces. Also used for removing a thin layer of silicon as required for damage removal or texturing. These conditioning and surface preparation compositions comprise one or more water soluble strongly basic components capable of producing a pH greater than 10, one or more water soluble organic amines, one or more chelating agents, and water.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 26, 2012
    Applicant: SURFACE CHEMISTRY DISCOVERIES, INC.
    Inventors: Shahriar Naghshineh, Ewa Oldak, George Schwartzkopf
  • Patent number: 8226773
    Abstract: A cleaning solution and method for removing submicron particles from the surface and/or the bevel of an electronic substrate such as a semiconductor wafer. The cleaning solution comprises a polycarboxylate polymer or an ethoxylated polyamine. The method comprises the step of contacting a surface of the substrate with a cleaning solution comprised of a polycarboxylate polymer or an ethoxylated polyamine. Additional optional steps in the method include applying acoustic energy to the cleaning solution and/or rinsing the surface with a rinsing solution with or without the application of acoustic energy to the rinsing solution.
    Type: Grant
    Filed: December 8, 2008
    Date of Patent: July 24, 2012
    Assignee: Fontana Technology
    Inventor: Mark Jonathan Beck
  • Patent number: 8227394
    Abstract: The embodiments of the present invention provide improved materials for cleaning patterned substrates with fine features. The cleaning materials have advantages in cleaning patterned substrates with fine features without substantially damaging the features. The cleaning materials are fluid, either in liquid phase, or in liquid/gas phase, and deform around device features; therefore, the cleaning materials do not substantially damage the device features or reduce damage all together. To assist removing of particles from the wafer (or substrate) surfaces, the polymeric compound of the polymers can contain a polar functional group, which can establish polar-polar molecular interaction and hydrogen bonds with hydrolyzed particles on the wafer surface. The polymers of a polymeric compound(s) with a large molecular weight form long polymer chains and network.
    Type: Grant
    Filed: November 7, 2008
    Date of Patent: July 24, 2012
    Assignee: Lam Research Corporation
    Inventors: Ji Zhu, Arjun Mendiratta, David Mui
  • Patent number: 8227395
    Abstract: Process solutions comprising one or more surfactants are used to reduce the number of defects in the manufacture of semiconductor devices. In certain preferred embodiments, the process solution of the present invention may reduce post-development defects such as pattern collapse when employed as a rinse solution either during or after the development of the patterned photoresist layer. Also disclosed is a method for reducing the number of pattern collapse defects on a plurality of photoresist coated substrates employing the process solution of the present invention.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: July 24, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Peng Zhang, Danielle Megan King Curzi, Eugene Joseph Karwacki, Jr., Leslie Cox Barber
  • Publication number: 20120178257
    Abstract: A method for cleaning a semiconductor structure includes subjecting a semiconductor structure to an aqueous solution including at least one fluorine compound, and at least one strong acid, the aqueous solution having a pH of less than 1. In one embodiment, the aqueous solution includes water, hydrochloric acid, and hydrofluoric acid at a volumetric ratio of water to hydrochloric acid to hydrofluoric acid of 1000:32.5:1. The aqueous solution may be used to form a contact plug that has better contact resistance and improved critical dimension bias than conventional cleaning solutions.
    Type: Application
    Filed: January 7, 2011
    Publication date: July 12, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Sanjeev Sapra, Niraj Rana
  • Patent number: 8216384
    Abstract: Embodiments of the current invention describe a cleaning solution for the removal of high dose implanted photoresist, along with methods of applying the cleaning solution to remove the high dose implanted photoresist and combinatorially developing the cleaning solution.
    Type: Grant
    Filed: November 6, 2009
    Date of Patent: July 10, 2012
    Assignee: Intermolecular, Inc.
    Inventors: Nitin Kumar, Guizhen Zhang
  • Publication number: 20120167916
    Abstract: Disclosed is a neutralizing/reducing agent for neutralizing/reducing an oxidizing agent component that is adsorbed and remains on an object to be coated after the object is subjected to a desmear treatment with the oxidizing agent, which comprises a thioamide compound and a non-aromatic thiol compound. The neutralizing/reducing agent does not generate any gas during a neutralization/reduction treatment, and therefore the occurrence of defects caused by the neutralization/reduction on the surface of a through hole or a blind via hole can be prevented. Further, the neutralizing/reducing agent hardly dissolves copper, and therefore the occurrence of haloing can be prevented, and the blistering caused by the etching between an inner layer copper and a resin with the neutralizing/reducing agent can also be prevented.
    Type: Application
    Filed: September 24, 2010
    Publication date: July 5, 2012
    Applicant: C. UYEMURA & CO., LTD.
    Inventors: Yoshikazu Saijo, Hisamitsu Yamamoto, Masayuki Utsumi
  • Publication number: 20120172273
    Abstract: The invention provides a wafer washing technique which does not require complicated operations and by which a wafer is washed with ultrapure water through relatively simple operations without contaminating the wafer surface with metals even if the ultrapure water contains metal ions on the ng/L (ppt) level. Wafer washing water includes ultrapure water to which a substance having an affinity for metal ions has been added. A wafer washing method uses this wafer washing water. A substance that exhibits an affinity for metal ions is added beforehand to wafer washing ultrapure water. As a result, the substance captures metal ions present in the ultrapure water and stabilizes them in water, thereby effectively preventing the metal ions from migrating toward the wafer surface and becoming attached to the wafer surface during washing.
    Type: Application
    Filed: September 28, 2010
    Publication date: July 5, 2012
    Applicant: KURITA WATER INDUSTRIES LTD.
    Inventors: Tetsuo Mizuniwa, Shigeyuki Hoshi
  • Publication number: 20120172272
    Abstract: A cleaning composition for a semiconductor device and a method of cleaning a semiconductor device, the composition including about 0.001 to about 0.5 wt % of a fluorine compound, based on a total weight of the composition; about 0.1 to about 10 wt % of an alkyl, aryl, or aralkyl-substituted ammonium hydroxide compound, based on a total weight of the composition; about 0.1 to about 10 wt % of a nitrogen-containing carboxylic acid, based on a total weight of the composition; about 0.01 to about 1 wt % of a water-soluble polymer compound, based on a total weight of the composition; and water.
    Type: Application
    Filed: December 29, 2011
    Publication date: July 5, 2012
    Inventors: Yong Yeop PARK, Jung Min CHOI, Go Un KIM, Kang Su AN
  • Patent number: 8211844
    Abstract: A method for removing a etch residue (e.g., polymer or particle) from a semiconductor structure and using a cleaning chemistry and the composition of the chemistry is described. By providing a semiconductor structure with etch residue on it, the semiconductor substrate is then placed in a chemistry to remove the particle, wherein the chemistry comprises dilute hydrofluoric acid and a carboxylic acid. In one embodiment the carboxylic acid is selected from tartaric acid, acetic acid, citric acid, glycolic acid, oxalic acid, salicyclic acid, or phthalic acid, and the dilute hydrofluoric acid is approximately 0.1 weight % of hydrofluoric acid.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 3, 2012
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Balgovind Sharma
  • Patent number: 8211846
    Abstract: The embodiments of the present invention provide improved materials for cleaning patterned substrates with fine features. The cleaning materials have advantages in cleaning patterned substrates with fine features without substantially damaging the features. The cleaning materials are fluid, either in liquid phase, or in liquid/gas phase, and deform around device features; therefore, the cleaning materials do not substantially damage the device features or reduce damage all together. The cleaning materials containing polymers of a polymeric compound with large molecular weight capture the contaminants on the substrate. In addition, the cleaning materials entrap the contaminants and do not return the contaminants to the substrate surface. The polymers of one or more polymeric compounds with large molecular weight form long polymer chains, which can also be cross-linked to form a network (or polymeric network).
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: July 3, 2012
    Assignee: Lam Research Group
    Inventors: David S. L. Mui, Satish Srinivasan, Grant Peng, Ji Zhu, Shih-Chung Kon, Dragan Podlesnik, Arjun Mendiratta
  • Patent number: 8211845
    Abstract: An object of this invention is to provide a cleaner composition that has a desirable cleaning property and is easily separable from pre-rinsing water even when cleaning an object produced by using a lead-free soldering flux; and a lead-free soldering flux removal system using a pre-rinsing method that can reduce the amount of waste fluid. The invention uses a cleaner composition for lead-free soldering flux, comprising (A) specific glycol ethers; (B) a specific polyoxyalkylene amine; and (C) a chelating agent that is at least one member selected from the group consisting of (c1) aliphatic hydroxycarboxylic acid chelating agents and (c2) (poly)phosphoric acid chelating agents.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: July 3, 2012
    Assignee: Arakawa Chemical Industries, Ltd.
    Inventors: Keita Tanaka, Takashi Tanaka
  • Publication number: 20120160270
    Abstract: A method of manufacturing cleaning solvents is provided. The method includes selecting a small plurality of test solvents from a large plurality of perspective solvents. The equilibrium composition of a multi-component solution is preferably described by the Hansen solubility model. A small plurality of test solvents is applied to solute samples and the degree of dissolution or swelling recorded. Based on the degree of dissolution or swelling, at least one solvent is selected from the large plurality of perspective solvents based on the Hansen parameters.
    Type: Application
    Filed: February 29, 2012
    Publication date: June 28, 2012
    Applicant: INFINEON TECHNOLOGIES AG
    Inventor: Frank Weber
  • Patent number: 8206509
    Abstract: Provided are a cleaning liquid for lithography that exhibits excellent corrosion suppression performance in relation to tungsten, and excellent removal performance in relation to a resist film or the like, and a method for forming a wiring using the cleaning liquid for lithography. The cleaning liquid for lithography according to the present invention includes a quaternary ammonium hydroxide, a water-soluble organic solvent, water, an inorganic salt and an anti-corrosion agent represented by a general formula (1) below. In the general formula (1), R1 represents an alkyl group or an aryl group having 1-17 carbon atoms, and R2 represents an alkyl group having 1-13 carbon atoms.
    Type: Grant
    Filed: December 1, 2010
    Date of Patent: June 26, 2012
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Takahiro Eto, Takuya Ohhashi, Masaru Takahama, Daijiro Mori, Akira Kumazawa
  • Publication number: 20120152286
    Abstract: A composition effective for removing solder fluxes either as a concentrated material or when diluted with water. The composition is effective in removing all types of solder fluxes including rosin type, resin type, no-clean, low residue, lead-free, organic acid and water soluble soldering fluxes. The composition comprises tripropylene glycol butyl ether and an alkali and has a pH of greater than 7.5. The composition may contain additional optional solvents and additives to enhance cleaning of articles or to impart other properties to the composition. The composition can be contacted with a surface to be cleaned in a number of ways and under a number of conditions depending on the manufacturing or processing variables present.
    Type: Application
    Filed: December 9, 2011
    Publication date: June 21, 2012
    Applicant: Kyzen Corporation
    Inventors: Kyle J. Doyel, Michael L. Bixenman, David T. Lober, Wayne Raney, Kevin Soucy
  • Patent number: 8187389
    Abstract: A resist removing device 1 functions to remove a resist from a substrate while preventing occurrence of popping phenomenon and at the same time attains reduction in cost of energy for the resist removing and has a simplified constitution. The resist removing device 1 is equipped with a chamber 2 for containing therein a substrate 16 (for example, a substrate having a high-doze ion implanted resist), and with a pressure below the atmospheric pressure, the chamber 2 is fed with ozone gas, unsaturated hydrocarbons and water vapor. The ozone gas may be an ultra-high concentrated ozone gas that is produced by subjecting an ozone containing gas to a liquefaction-separation with the aid of a vapor pressure difference and then vaporizing the liquefied ozone. For cleaning the substrate 16 thus treated, it is preferable to use ultra-pure water. The chamber 2 is equipped with a susceptor 15 for holding the substrate 16. The susceptor 15 is heated to a temperature of 100° C. or below.
    Type: Grant
    Filed: May 8, 2008
    Date of Patent: May 29, 2012
    Assignee: Meidensha Corporation
    Inventor: Toshinori Miura
  • Publication number: 20120129345
    Abstract: The compositions and methods for the removal of residues and contaminants from metal or dielectric surfaces comprises at least one alkyl diphosphonic acid, at least one second acidic substance at amble ratio of about 1:1 to about 10:1 in water, arid pH is adjusted to from about 6 to about 10 with a metal ion free base, and a surfactant. Particularly, a composition and method of cleaning residues after chemical mechanical polishing of a copper or aluminum surface of the semiconductor substrates.
    Type: Application
    Filed: January 26, 2012
    Publication date: May 24, 2012
    Inventor: WAI MUN LEE