Defect Analysis Or Recognition Patents (Class 700/110)
  • Patent number: 8620468
    Abstract: A computing device develops a first non-adaptive virtual metrology (VM) model for a manufacturing process based on performing a non-adaptive regression using a first data set. Upon determining that an accuracy of the first non-adaptive VM model satisfies a first quality criterion, the computing device develops an adaptive VM model for the manufacturing process based on performing an adaptive regression using at least one of the first data set or a second data set. The computing device evaluates an accuracy of the adaptive VM model using a third data set that is larger than the first data set and the second data set. The computing device determines that the adaptive VM model is ready for use in production upon determining that an accuracy of the first adaptive VM model satisfies a second quality criterion that is more stringent than the first quality criterion.
    Type: Grant
    Filed: January 28, 2011
    Date of Patent: December 31, 2013
    Assignee: Applied Materials, Inc.
    Inventor: James Moyne
  • Patent number: 8615724
    Abstract: Embodiments of the invention include systems and methods for automatically predicting production yield for a circuit assembly according to attributes of its components and defect data mapped thereto. Embodiments receive a proposed design specification for a circuit assembly, including bill of materials (BOM) and schematic data, at a yield prediction environment. The yield prediction environment maps a set of attributes to each component in the BOM and maps a set of possible defects to each component according to its attributes. Defects may be further mapped to a manufacturing process assigned to populate each component in the circuit assembly. The defects are associated with predicted frequencies of occurrence, which can be used to roll up a yield prediction for the circuit assembly. Embodiments further allow “what-if” analysis to be performed so that different yield prediction results can be compared according to different form factor options and/or different manufacturing process options.
    Type: Grant
    Filed: October 19, 2012
    Date of Patent: December 24, 2013
    Assignee: Flextronics AP LLC
    Inventor: Michael Anthony Durkan
  • Patent number: 8600556
    Abstract: A building manager includes a communications interface configured to receive information from a smart energy grid. The building manager further includes an integrated control layer configured to receive inputs from and to provide outputs to a plurality of building subsystems. The integrated control layer includes a plurality of control algorithm modules configured to process the inputs and to determine the outputs. The building manager further includes a fault detection and diagnostics layer configured to use statistical analysis on the inputs received from the integrated control layer to detect and diagnose faults. The building manager yet further includes a demand response layer configured to process the information received from the smart energy grid to determine adjustments to the plurality of control algorithms of the integrated control layer.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: December 3, 2013
    Assignee: Johnson Controls Technology Company
    Inventors: Clay G. Nesler, Kirk H. Drees, James P. Kummer, Derek Supple, Marc D. Andraca, John I. Ruiz, Paul Harrison Rode
  • Patent number: 8594821
    Abstract: A system, a method and a computer program product for identifying incompatible manufacturing tools. The system receives measurements of products that were subject to a manufacturing process involving a plurality of manufacturing tools. The measurements pertain to a performance characteristic of each product. The system evaluates whether each manufacturing tool implemented in a sequential manufacturing process individually performs normally based on the received measurements. In response to evaluating each manufacturing tool implemented in said manufacturing process individually performs normally, the system evaluates whether a first combination of the manufacturing tools together in sequential manufacturing process perform normally based on the received measurements.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: Robert J. Baseman, Fateh A. Tipu, Sholom M. Weiss
  • Patent number: 8594827
    Abstract: A semiconductor wafer fabrication metrology method in which process steps are characterised by a change in wafer mass, whereby during fabrication mass is used as a measurable parameter to implement statistical process control on the one or more of process steps. In one aspect, the shape of a measured mass distribution is compared with the shape of a predetermined characteristic mass distribution to monitor the process. An determined empirical relationship between a control variable of the process and the characteristic mass change may enable differences between the measured mass distribution and characteristic mass distribution to provide information about the control variable. In another aspect, the relative position of an individual measured wafer mass change in a current distribution provides information about individual wafer problems independently from general process problems.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: November 26, 2013
    Assignee: Metryx Limited
    Inventor: Adrian Kiermasz
  • Patent number: 8594823
    Abstract: A system and method of matching multiple scanners using design and defect data are described. A golden wafer is processed using a golden tool. A second wafer is processed using a second tool. Both tools provide focus/exposure modulation. Wafer-level spatial signatures of critical structures for both wafers can be compared to evaluate the behavior of the scanners. Critical structures can be identified by binning defects on the golden wafer having similar patterns. In one embodiment, the signatures must match within a certain percentage or the second tool is characterized as a “no match”. Reticles can be compared in a similar manner, wherein the golden and second wafers are processed using a golden reticle and a second reticle, respectively.
    Type: Grant
    Filed: July 12, 2010
    Date of Patent: November 26, 2013
    Assignee: KLA—Tencor Corporation
    Inventors: Allen Park, Ellis Chang, Masami Aoki, Chris Chih-Chien Young, Martin Plihal, Michael John Van Riet
  • Patent number: 8595657
    Abstract: Methods of fabricating a photo mask are provided. The method includes collecting sample data, setting a preliminary mask layout, performing an optical proximity correction using the sample data and a preliminary mask layout to obtain an optimized preliminary mask layout, verifying the optimized preliminary mask layout to obtain a final mask layout, and fabricating the photo mask using the final mask layout. Verification of the optimized preliminary mask layout includes operating a verification simulator using the sample data and the optimized preliminary mask layout as input data to obtain verification image data. The verification image data includes a plurality of contours of a pattern at different vertical positions.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: November 26, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hosun Cha, Eunmi Lee, Sungwoo Lee
  • Patent number: 8591811
    Abstract: Methods of monitoring acceptance criteria of pharmaceutical manufacturing processes are disclosed herein. The methods described herein provide an ability to control and monitor pharmaceutical manufacturing processes (for example, finishing and packaging of pharmaceuticals) and can ensure data and product integrity and ultimately minimize overall manufacturing cost.
    Type: Grant
    Filed: March 18, 2013
    Date of Patent: November 26, 2013
    Assignee: SMP Logic Systems LLC
    Inventor: Shane M. Popp
  • Patent number: 8594963
    Abstract: A method of predicting product yield may include determining defect characteristics for a product based at least in part on inspection data associated with critical layers of the product, determining yield loss for each of the critical layers, and estimating product yield based on the determined yield loss of the critical layers. A corresponding apparatus is also provided.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: November 26, 2013
    Assignee: Macronix International Co., Ltd.
    Inventors: Hsiang-Chou Liao, Che-Lun Hung, Tuung Luoh, Ling-Wuu Yang, Ta-Hone Yang, Kuang-Chao Chen
  • Patent number: 8589830
    Abstract: Provided is an integrated circuit (IC) design method. The method includes receiving an IC design layout having a feature with an outer boundary, performing a dissection on the feature to divide the outer boundary into a plurality of segments, and performing, using the segments, an optical proximity correction (OPC) on the feature to generate a modified outer boundary. The method also includes simulating a photolithography exposure of the feature with the modified outer boundary to create a contour and performing an OPC evaluation to determine if the contour is within a threshold. Additionally, the method includes repeating the performing a dissection, the performing an optical proximity correction, and the simulating if the contour does not meet the threshold, wherein each repeated dissection and each repeated optical proximity correction is performed on the modified outer boundary generated by the previously performed optical proximity correction.
    Type: Grant
    Filed: March 7, 2012
    Date of Patent: November 19, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Cheng Chang, Chin-Min Huang, Wei-Kuan Yu, Cherng-Shyan Tsay, Lai Chien Wen, Hua-Tai Lin
  • Publication number: 20130304246
    Abstract: A method for corrective action on the operation of a line for the production of absorbent sanitary articles, comprises capturing at least one image of each article being fed out of the line; using the image to define first parameters indicating the positioning and/or assembly and/or shape of at least one respective component; detecting a production defect if at least one of the first parameters is outside a respective acceptability range; identifying second, line operating parameters which are used to indicate if the first parameter is outside the respective acceptability range; comparing the line operating parameters with respective third, reference parameters indicating optimum line operation; using the comparison to derive a map of abnormal operating parameters; checking if each combination of abnormal operating parameters indicates a respective cause of malfunction of the line; defining a respective corrective action.
    Type: Application
    Filed: February 23, 2012
    Publication date: November 14, 2013
    Applicant: GDM S.p.A.
    Inventors: Marco Rosani, Gabriele Pastrello, Matteo Piantoni, Alberto Perego
  • Patent number: 8583271
    Abstract: An apparatus may comprise a nondestructive evaluation system and a cutting system. The nondestructive evaluation system may be configured to inspect a processed portion of a structure. The nondestructive evaluation system may be configured to determine whether an inconsistency is present in the processed portion. The nondestructive evaluation system may also be configured to generate information about a location of the inconsistency. The cutting system may be configured to cut a number of parts out of the processed portion of the structure in which the inconsistency may be at least substantially excluded from the number of parts.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: November 12, 2013
    Assignee: The Boeing Company
    Inventors: Roger W. Engelbart, Steven J. Burpo, Michael P. Renieri
  • Patent number: 8583433
    Abstract: A system and method for efficiently transcribing verbal messages to text is provided. Verbal messages are received and at least one of the verbal messages is divided into segments. Automatically recognized text is determined for each of the segments by performing speech recognition and a confidence rating is assigned to the automatically recognized text for each segment. A threshold is applied to the confidence ratings and those segments with confidence ratings that fall below the threshold are identified. The segments that fall below the threshold are assigned to one or more human agents starting with those segments that have the lowest confidence ratings. Transcription from the human agents is received for the segments assigned to that agent. The transcription is assembled with the automatically recognized text of the segments not assigned to the human agents as a text message for the at least one verbal message.
    Type: Grant
    Filed: August 6, 2012
    Date of Patent: November 12, 2013
    Assignee: Intellisist, Inc.
    Inventors: Mike O. Webb, Bruce J. Peterson, Janet S. Kaseda
  • Patent number: 8577489
    Abstract: Solutions for diagnosing in-line critical dimension control adjustments in a lithographic process are disclosed. In one embodiment, a method includes: locating a control structure in a data set representing one of a chip or a kerf; simulating component dimensions within a region proximate to the control structure; determining a difference between the simulated component dimensions within the region and target component dimensions within the region; determining whether the difference exceeds a predetermined tolerance threshold; adjusting a simulation condition in response to determining the difference exceeds the predetermined tolerance threshold; and repeating the simulating of the component dimensions within the region, the determining of the difference, and the determining of whether the difference exceeds the predetermined tolerance threshold in response to the adjusting of the simulation condition.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: November 5, 2013
    Assignee: International Business Machines Corporation
    Inventors: James A. Bruce, Kenneth T. Settlemyer, Jr.
  • Publication number: 20130288403
    Abstract: A system and method of automatically detecting failure patterns for a semiconductor wafer process is provided. The method includes receiving a test data set collected from testing a plurality of semiconductor wafers, forming a respective wafer map for each of the wafers, determining whether each respective wafer map comprises one or more respective objects, selecting the wafer maps that are determined to comprise one or more respective objects, selecting one or more object indices for selecting a respective object in each respective selected wafer map, determining a plurality of object index values in each respective selected wafer map, selecting an object in each respective selected wafer map, determining a respective feature in each of the respective selected wafer, classifying a respective pattern for each of the respective selected wafer maps and using the respective wafer fingerprints to adjust one or more parameters of the semiconductor fabrication process.
    Type: Application
    Filed: April 25, 2012
    Publication date: October 31, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jui-Long CHEN, Hui-Yun CHAO, Yen-Di TSEN, Jong-I MOU
  • Patent number: 8571696
    Abstract: Example methods and apparatus to predict process quality in a process control system are disclosed. A disclosed example method includes receiving process control information relating to a process at a first time including a first value associated with a first measured variable and a second value associated with a second measured variable, determining if a variation based on the received process control information associated with the process exceeds a threshold, if the variation exceeds the threshold, calculating a first contribution value based on a contribution of the first measured variable to the variation and a second contribution value based on a contribution of the second measured variable to the variation, determining at least one corrective action based on the first contribution value, the second contribution value, the first value, or the second value, and calculating a predicted process quality based on the at least one corrective action at a time after the first time.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: October 29, 2013
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventors: Terrence Lynn Blevins, Wilhelm K. Wojsznis, Mark Nixon, Paul Richard Muston, Christopher Worek, Randolf Reiss
  • Patent number: 8571823
    Abstract: A system and a method for controlling the quality of an industrial process, of the type that comprises the steps of: providing one or more reference signals for the industrial process; acquiring one or more real signals that are indicative of the quality of said industrial process; and comparing said one or more reference signals with said one or more real signals in order to identify defects in said industrial process.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: October 29, 2013
    Assignee: CRF Societa Consortile per Azioni
    Inventors: Giuseppe D'Angelo, Giorgio Pasquettaz, Andrea Terreno
  • Publication number: 20130274909
    Abstract: A method for the serial personalization of smart cards in a production chain includes a phase of detecting a smart card already personalized and physically defective, and a phase of retrieving a serial number of the physically defective smart card, to be assigned to a smart card not yet personalized in the production chain. The physically defective smart card and the smart card not yet personalized are loaded together in the production chain wherein the physically defective smart card is rendered unusable, and the not yet personalized smart card receives the serial number retrieved from the physically defective smart card.
    Type: Application
    Filed: April 3, 2013
    Publication date: October 17, 2013
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Giancarlo PASQUARIELLO, Paolo MORELLI, Fabio CUOMO
  • Patent number: 8560103
    Abstract: A method for designing a formed sheet-metal part using a computing system is described. The method typically includes performing a numerical simulation of the forming process and computing a local property variable associated with points of the part. Problem zones of the sheet-metal part are identified based on the numerical simulation, and a visual representation of the sheet-metal part, in which problem zones are identified, is displayed. Information about a problem zone, such as status of the problem zone and the problem zone's unique identifier, are visually displayed.
    Type: Grant
    Filed: November 17, 2010
    Date of Patent: October 15, 2013
    Inventors: Waldemar Kubli, Andreas Krainer
  • Patent number: 8560978
    Abstract: Described herein are methods for matching the characteristics of a lithographic projection apparatus to a reference lithographic projection apparatus, where the matching includes optimizing projection optics characteristics. The projection optics can be used to shape wavefront in the lithographic projection apparatus. According to the embodiments herein, the methods can be accelerated by using linear fitting algorithm or using Taylor series expansion using partial derivatives of transmission cross coefficients (TCCs).
    Type: Grant
    Filed: November 9, 2011
    Date of Patent: October 15, 2013
    Assignee: ASML Netherlands B.V.
    Inventors: Hanying Feng, Yu Cao, Jun Ye
  • Patent number: 8548619
    Abstract: A maintenance system for an assembly comprises a storage medium containing an as-built computer-aided design model of the assembly, a displaying means for displaying the as-built computer-aided design model, a metrology device for measuring a location of at least one characteristic of the assembly and creating as-built data regarding the location, and a replacing means for replacing a part of the assembly with a replacement part. The replacing means determines the location for the replacement part on the assembly by analyzing the as-built computer-aided design model and the data created by the metrology device.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: October 1, 2013
    Assignee: The Boeing Company
    Inventor: Michael C. Richey
  • Patent number: 8538572
    Abstract: A method for automatically identifying an optimal endpoint algorithm for qualifying a process endpoint during substrate processing within a plasma processing system is provided. The method includes receiving sensor data from a plurality of sensors during substrate processing of at least one substrate within the plasma processing system, wherein the sensor data includes a plurality of signal streams from a plurality of sensor channels. The method also includes identifying an endpoint domain, wherein the endpoint domain is an approximate period within which the process endpoint is expected to occur. The method further includes analyzing the sensor data to generate a set of potential endpoint signatures. The method yet also includes converting the set of potential endpoint signatures into a set of optimal endpoint algorithms. The method yet further includes importing one optimal endpoint algorithm of the set of optimal endpoint algorithms into production environment.
    Type: Grant
    Filed: June 29, 2010
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Jiangxin Wang, Andrew James Perry, Vijayakumar C Venugopal
  • Publication number: 20130238111
    Abstract: A method, system, and apparatus for intelligent application of a finishing process a surface of a housing is described. In one embodiment, at least a portion of the surface of the housing is imaged. In one embodiment, the image can be rendered using an optical imager such as a standard or high definition camera. In one embodiment, multiple cameras can be used to assist in defining location, size, and depth of surface defects. In one embodiment, an optical imaging device can be used to image surface defects under wet conditions where the surface of the housing is covered with a layer of slurry.
    Type: Application
    Filed: March 12, 2013
    Publication date: September 12, 2013
    Applicant: APPLE INC.
    Inventors: Lucas A. WHIPPLE, Simon R. LANCASTER-LAROCQUE, Erik D. SUOMI, Timothy Richard WEBB, Kyung Y. KIM, Cameron W. SCHNUR, Bruce W. BALL, Carl CAI
  • Patent number: 8533124
    Abstract: An aspect of the present invention provides a digital material management method including a verification step of automatically verifying, in manufacturing of a product in which digital materials are used, digital materials that should be used and digital materials that are actually used in the product. According to the digital material management method of the present invention, whether the entire digital materials that should be used in the product manufactured using the digital materials are arranged and used is automatically checked, and the result is displayed. Therefore, the use of the digital materials that should be used in the product can be automatically checked. The efficiency is improved, and the power can be saved.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: September 10, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Masayuki Ryuutou
  • Publication number: 20130218317
    Abstract: Methods of monitoring acceptance criteria of pharmaceutical manufacturing processes are disclosed herein. The methods described herein provide an ability to control and monitor pharmaceutical manufacturing processes (for example, finishing and packaging of pharmaceuticals) and can ensure data and product integrity and ultimately minimize overall manufacturing cost.
    Type: Application
    Filed: March 18, 2013
    Publication date: August 22, 2013
    Inventor: Shane M. Popp
  • Patent number: 8515568
    Abstract: Disclosed are apparatus and methods for monitoring an operation parameter of a process tool, independently of a process system recipe, are provided. In one embodiment, the behavior of a process device as it transitions between different states is monitored for a single cycle of operation or over time to detect trends that indicate a potential failure of the process device. When a trend that indicates a potential failure is detected, an alarm is generated. In one implementation, the time for reaching a particular stage of operation may be repeatedly monitored over a plurality of device cycles. For example, the time to open a valve or door may be monitored. In another example, the time for reaching a stable phase of gas flow after a ramping stage has commenced is monitored. When the time for reaching a particular stage begins to decline by a predetermined amount, an alarm may be generated.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: August 20, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Jeffery William Achtnig, Russell Fleming, Jaideep Jain
  • Patent number: 8515570
    Abstract: The invention provides a system for sensing a cigarette filter, comprising: a plurality of rotary conveyance drums (12) delivering a first cigarette filter and second cigarette filters into which the first cigarette filter is divided by a cutter; two sensors (18) disposed adjacent to the rotary conveyance drum (12) to detect the second cigarette filters; an opto coupler connected to the two sensors (18) and operated by AND logic; a HIP connected to the opto coupler, it controlling a device of supplying cigarette filters to reject a cigarette having defects; and, a PLC connected with the HIP, it controlling the device of supplying cigarette filters, wherein the two sensors (18) detect active charcoal filters located at both ends of the second cigarette filters, the HIP controls the device such that the cigarette having defects are rejected when signals sensed by the sensors (18) are different from signals sensed from the normal active charcoal filter, the PLC controls the operation and stop of the device by pe
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: August 20, 2013
    Assignee: British American Tobacco Korea Limited
    Inventor: Jong Myung Lee
  • Patent number: 8513603
    Abstract: A method utilizing characteristic x-ray emission from a single thin film or multilayer thin film when an electron beam impinges at a grazing angle with respect to the surface of the sample to capture structural and physical properties of the layers such as layer thickness, interfacial roughness, and stoichiometry of the sample.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: August 20, 2013
    Assignee: West Virginia University
    Inventors: David Lederman, Thomas Hubbard Myers, II, Sandeep Chandril
  • Patent number: 8515569
    Abstract: The content of an operating instruction to each worker in a manufacturing process is controlled in the following way based on a manufacturing direction to the worker and on manufacturing achievement, work proficiency, and the like of the worker for the manufacturing direction. A deviation between a manufacturing direction and manufacturing achievement is calculated. Manufacturing direction parameters acting as factors of the calculated deviation are specified for each product to be manufactured. The above information is stored in a deviation factor database. For a new manufacturing direction, manufacturing direction parameters therein are checked against the deviation factor database to determine alarm information to be given to a worker on a manufacturing line, and the determined alarm information is outputted.
    Type: Grant
    Filed: May 26, 2010
    Date of Patent: August 20, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Shinichirou Hanawa, Hitomi Arai
  • Publication number: 20130204418
    Abstract: The present disclosure relates to a process tool system that utilizes tool sensor data and an embedded or built-in tool model to facilitate semiconductor fabrication. The process tool system includes a sensor data component, the tool model, and an execution system. The sensor data component is configured to provide the tool sensor data. The tool model is built in a process tool and is configured to generate model outputs based on model inputs. The manufacturing execution system is configured to provide tool process data, including actual metrology and previous process data, to the sensor data component. Additionally, the execution system provides the model inputs to the tool model and receives the model outputs from the tool model. The execution system provides one or more execution system outputs based on the sensor data and the model outputs. The sensor data can include measured semiconductor device characteristics.
    Type: Application
    Filed: February 2, 2012
    Publication date: August 8, 2013
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Yung-Cheng Chang
  • Patent number: 8498729
    Abstract: Manufacturing execution systems and methods thereof used to monitor and execute a baby formula manufacturing process are disclosed herein. Consequently, the methods and systems provide a means to perform validation and quality manufacturing on an integrated level whereby baby formula manufacturers can achieve data and product integrity and ultimately minimize cost.
    Type: Grant
    Filed: August 25, 2009
    Date of Patent: July 30, 2013
    Assignee: SMP Logic Systems LLC
    Inventor: Shane M. Popp
  • Patent number: 8498731
    Abstract: Provided are a process-parameter prognostic system for predicting the shape of a semiconductor structure, a semiconductor fabrication apparatus having the process-parameter prognostic system, and a method of using the same. The process-parameter prognostic system may have a process prediction unit and a process-change point corresponding unit. The process prediction unit and the process-change point corresponding unit may obtain predicted parameters using measured parameters of semiconductor structures and sensor parameters of plasmas corresponding to the semiconductor structures.
    Type: Grant
    Filed: August 11, 2011
    Date of Patent: July 30, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kye-Hyun Baek, Yoon-Jae Kim, Yong-Jin Kim
  • Patent number: 8498111
    Abstract: A notebook computer includes a keyboard circuit, a USB circuit, a switching circuit, a reset circuit, and a lock button. The reset circuit is connected to ground by the lock button, thereby forming a first loop. The loop is switchable between an on-state and an off-state by the lock button.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: July 30, 2013
    Assignees: Hong Fu Jin Precision Industry (ShenZhen) Co., Ltd., Hon Hai Precision Industry Co., Ltd.
    Inventors: Hai-Li Wang, Yong-Qian Deng
  • Patent number: 8489218
    Abstract: The present disclosure provides a method of chamber match. The method includes identifying a golden chamber designed operable to implement a semiconductor process; identifying a reference chamber designed operable for the semiconductor process; and extracting a matching index of a processing chamber relative to the golden chamber and the reference chamber using a dynamic variable analysis.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: July 16, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Bing-Hung Chen, Ming-Ching Chang
  • Patent number: 8480934
    Abstract: According to one embodiment, a manufacturing method includes performing lithography processes for manufacturing a semiconductor device that includes a three-dimensional stacked device. The stacked device includes layers stacked above a substrate. Each of the layers includes a device circuit. The lithography processes include a lithography process for forming a lower layer of the layers by using a first original plate that has quality not less than a certain level. The first original plate is selected from original plates. Each of the original plates includes a pattern corresponding to the device circuit. The original plates are ranked according to quality based on defect. The lithography processes further include a lithography process for forming a higher layer of the layers by using a second original plate that has quality lower than the certain level. The second original plate is selected from the original plates.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: July 9, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Koji Hashimoto
  • Patent number: 8468687
    Abstract: In a component mounting apparatus, a picking member picks components and mounts them on a board through movements of a plurality of moving members driven by motors. The apparatus is provided with a regenerative electric power control section for controlling regenerative electric power produced by regenerating a motion energy when each of the motors is decelerated; power supply sections for the motors each for exchanging electric power with the regenerative electric power control section; and a control section. When two moving members are moved, the control section begins to start and accelerate one of the two moving members in synchronized relation with a timing of beginning to decelerate and stop the other moving member and controls the regenerative electric power control section to utilize a regenerative electric power which is obtained from the motor driving the other moving member, in starting the motor which drives the one moving member.
    Type: Grant
    Filed: March 31, 2011
    Date of Patent: June 25, 2013
    Assignee: Fuji Machine Mfg. Co., Ltd.
    Inventor: Masatoshi Fujita
  • Patent number: 8473086
    Abstract: A substrate reworking system (1) improves rework tact time and eliminates unnecessary reworking to perform efficient reworking. The system (1) includes: a defect information acquisition unit (2) for acquiring defect information for an entire region on the target substrate (9); a reworking unit (3) having at least one liquid drop discharge unit (6) for dispensing a liquid drop to a defective part on the target substrate (9) based on the defect information acquired by the defect information acquisition unit (2); and a rework determining unit (4) for determining, for each target substrate (9) and based on the defect information acquired by the defect information acquisition unit (2), whether the reworking unit (3) needs to perform reworking.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: June 25, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Yoshinori Nakajima, Toshihiro Tamura
  • Patent number: 8468471
    Abstract: Systems and methods for process aware metrology are provided.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: June 18, 2013
    Assignee: KLA-Tencor Corp.
    Inventors: Xuefeng Liu, Yung-Ho Alex Chuang, John Fielden, Bin-Ming Benjamin Tsai, Jingjing Zhang
  • Patent number: 8467978
    Abstract: A method and apparatus for inspecting a surface of an object. Data from measuring the surface of the object is obtained to form surface data for the object. A range of frequencies for features on the object is selected based on a range of distances between adjacent peaks for the features. The features are formed by a tool moving along a number of paths. Desired surface data for the features is obtained from the surface data using the range of frequencies selected. A determination is made as to whether the desired surface data for the features meets a policy specifying a desired surface for the object. In response to an absence of a determination that the desired surface data for the features meets the policy, the object is reworked.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: June 18, 2013
    Assignee: The Boeing Company
    Inventors: Michael David Huffman, Andrew James Booker, Thomas A. Hogan, Alan K. Jones, Bruce C. Andrews
  • Patent number: 8452573
    Abstract: An emission estimation apparatus running a program configured to perform a method of calculating the amount of carbon generated during the life span of a structure by displaying a graphical user interface stored in the memory of the apparatus which is configured to gather structural information pertaining of the structure, receiving structural information from the graphical user interface into the memory of the apparatus which includes information pertaining to the size, types of material used in the structure and structural aspects of the structure, generating an estimated amount of carbon generated from the use of each type of material to construct the structure and the labor used to construct the structure based on the structural information received by the processor, estimating, by the processor, the types and amounts of material and labor required to repair the structure after a destructive event occurs based on a calculated probability and magnitude of a destructive event occurring, generating an estima
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: May 28, 2013
    Assignee: Skidmore, Owings & Merrill LLP
    Inventors: Mark Sarkisian, Geoffrey Brunn, Moshen Nasr, Lindsay Hu
  • Patent number: 8450120
    Abstract: A method and system for repairing photomasks is disclosed. A scanning electron microscope (SEM) is used to identify, measure, and correct defects. The SEM is operated in multiple modes, including a measuring mode and a repair mode. The repair mode is of higher landing energy and exposure time than the measuring mode, and induces shrinkage in the photoresist to correct various features, such as vias that are too small.
    Type: Grant
    Filed: March 30, 2012
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Stuart A. Sieg, Kourosh Nafisi, Eric Peter Solecky
  • Patent number: 8452439
    Abstract: A method comprises computing respective regression models for each of a plurality of failure bins based on a plurality of failures identified during wafer electrical tests. Each regression model outputs a wafer yield measure as a function of a plurality of device performance variables. For each failure bin, sensitivity of the wafer yield measure to each of the plurality of device performance variables is determined, and the device performance variables are ranked with respect to sensitivity of the wafer yield measure. A subset of the device performance variables which have highest rankings and which have less than a threshold correlation with each other are selected. The wafer yield measures for each failure bin corresponding to one of the selected subset of device performance variables are combined, to provide a combined wafer yield measure. At least one new process parameter value is selected to effect a change in the one device performance variable, based on the combined wafer yield measure.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: May 28, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Sunny Wu, Chun-Hsien Lin, Kun-Ming Chen, Dung-Yian Hsieh, Hui-Ru Lin, Jo Fei Wang, Jong-I Mou, I-Ching Chu
  • Patent number: 8452441
    Abstract: The invention discloses a process quality prediction system and a method thereof. When a processing apparatus performs a process on a target, the process is measured by a measurement apparatus to receive a process value. The process value and several previous quality data collected from the measurement apparatus are used to predict the quality of the product which is processing inline. The method is composed of a moving window, a stepwise regression scheme and an analysis of covariance (ANCOVA). The drift and shift of process are overcome by the moving window. A key variable set is selected by the stepwise regression scheme and a virtual model is identified by the analysis of covariance.
    Type: Grant
    Filed: August 10, 2010
    Date of Patent: May 28, 2013
    Assignee: National Tsing Hua University
    Inventors: Shi-Shang Jang, Tain-Hong Pan, Shan-Hill Wong
  • Patent number: 8442663
    Abstract: Example methods, apparatus and articles of manufacture to test process control systems are disclosed. A disclosed example method includes obtaining user inputs to a first process control system, obtaining process inputs and first process outputs of the first process control system, providing the user inputs and the process inputs to a second process control system to operate the second process control system, obtaining second process outputs of the second process control system operated with the user inputs and the process inputs, and comparing the first and second process outputs to determine whether the second process control system is implemented as intended.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: May 14, 2013
    Assignee: Fisher-Rosemount Systems, Inc.
    Inventors: Tom Aneweer, Dirk Thiele, Noel Bell
  • Patent number: 8442673
    Abstract: The invention relates method for controlling a machine for treating containers (12) as well as for controlling processing and/or treatment stations located downstream from it, wherein the machine has a plurality of turntables (42) that are each driven by a motor and that serve to orient and/or position the containers (12), in which method the motor drives (44) of the turntables (42) are each monitored separately, so that, if an error occurs with one or more of the turntable drives (44), the affected defective turntable drives (44) are deactivated while the machine and/or the stations located downstream continue to be operated.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: May 14, 2013
    Assignee: Krones AG
    Inventors: Martin Langosch, Joerg Triebel
  • Patent number: 8437870
    Abstract: System and method for implementing a VM APC platform are described. In one embodiment, the VM APC system comprises a process tool for processing a plurality of wafers, a metrology tool for measuring a sample wafer of the plurality of wafers and generating actual metrology data therefor, and a VM model for predicting metrology data for each of the plurality of wafers. The actual metrology data is received from the metrology tool and used to update the VM model. Key variables of the virtual metrology model are updated only in response to a determination that the VM model is inaccurate and parameters of the VM model are updated responsive to receipt of the actual metrology data for the sample wafer of the plurality of wafers. The system also includes an APC controller for receiving the predicted metrology data and the actual metrology data and controlling an operation of the process tool based on the received data.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: May 7, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Po-Feng Tsai, Andy Tsen, Jin-Ning Sung
  • Publication number: 20130110274
    Abstract: A process controller a procedure module that includes instructions for executing a procedure, and a plurality of supplemental procedure modules, each of which includes instructions for executing one of a plurality of supplemental procedures. The process controller also includes a process monitor processor configured to receive an input electronic signal indicative of a status of a process feature, apply logic based on the input electronic signal, and generate an output electronic signal in response to the input electronic signal. The process controller also includes a sequence engine processor configured to execute the procedure, receive the output electronic signal, apply logic based on the output electronic signal, select one or more of the plurality of supplemental procedures based on the received output electronic signal, and execute the selected one or more of the plurality of supplemental procedures.
    Type: Application
    Filed: October 31, 2011
    Publication date: May 2, 2013
    Applicant: ROCKWELL AUTOMATION TECHNOLOGIES, INC.
    Inventors: Paul R. D'Mura, Kenneth S. Plache, Michael D. Kalan, Kenwood H. Hall, Sujeet Chand
  • Patent number: 8433428
    Abstract: Systems and methods for managing machine tools are provided. When a current abnormality occurs in one of at least one machine tool, a specific failure category is determined according to the current abnormality, and at least one suggested combination of parameters is generated according to the specific failure category and a transaction database, wherein the specific failure category is one of a plurality of predefined failure categories, and each suggested combination of parameters includes a plurality of associated parameters, which are commonly retrieved for the specific failure category. Each transaction data in the transaction database records a plurality of parameters corresponding to a failure category, wherein the parameters are the parameters whose parameter values are retrieved from the at least one machine tool, having the abnormality according to the failure category.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: April 30, 2013
    Assignee: Institute for Information Industry
    Inventors: ShinYen Liu, ChunTai Yen, HsiaoWei Chen
  • Patent number: 8433432
    Abstract: Techniques to manage position information of parts such that, if discrepancy of the parts occurs, the discrepancy can be detected and corrected while the advantages of using the constraint conditions can be offered. In one embodiment, when an input unit receives information of an icon click, a receiving section receives the information of the click, and a saving section saves the position and the angle of geographic data indicating the three-dimensional geometry of the part as absolute-position information calculated with reference to the absolute origin into the storage unit.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kazunori Matsushita, Shinsuke Noda
  • Patent number: RE44450
    Abstract: A computer based system is provided that is capable of displaying component and process structures for configurable product classes stored in a data structure. Product classes in the data structure are represented by product nodes. Components, which are abstractions of concrete items used in the product, are represented by component nodes. Component nodes are mapped to each product class with which the component is associated, and are associated with one or more component variants, which are concrete items used in the manufacture of a particular product variant. Process nodes, which are abstractions of actual steps required to produce a product variant, known as process variants, are represented by process nodes. The computer based system can display several alternative views of the data structure. For example, upon receiving a selection for a particular product class, the computer based system converts and can graphically display all component and process nodes associated with that product class.
    Type: Grant
    Filed: May 28, 2010
    Date of Patent: August 20, 2013
    Assignee: SAP Aktiengesellschaft
    Inventors: Peter Muehleck, Adam Polly