Device Response Compared To Expected Fault-free Response Patents (Class 714/736)
  • Patent number: 7954090
    Abstract: A system for monitoring and analyzing a deployment of a software application is disclosed. The system includes a monitoring component that collects streams of data values of time-variant state metrics associated with the deployment, and a feature detector that analyzes the streams to identify features known to be associated with potential problems. Detected features can include deviations of a signal from a normalcy band, a rising or descending trend in signal behavior, deviations from seasonal or cyclical normalcy bands, and the like.
    Type: Grant
    Filed: December 21, 2005
    Date of Patent: May 31, 2011
    Assignee: Zenprise, Inc.
    Inventors: Waheed Qureshi, Kelly Brian Roach, Tanvir Hassan
  • Patent number: 7954028
    Abstract: A design structure for implementing redundancy programming in a memory macro of an integrated circuit chip. It is assumed that all fails are row fails until determined to be bitline fails, circuits for implementing a method wherein it is assumed that all fails are row fails until determined to be bitline fails and test patterns are passed back to the failure detecting circuit when a wordline destination of the test patterns has previously been determined to be failing, and the test patterns and resultant patterns are passed between the memory macro and a test engine via logic paths connecting the memory macro to other circuits in said integrated circuit chip.
    Type: Grant
    Filed: March 12, 2008
    Date of Patent: May 31, 2011
    Assignee: International Business Machines Corporation
    Inventors: John Edward Barth, Jr., Kevin William Gorman
  • Patent number: 7949913
    Abstract: A method for storing a memory defect map is disclosed whereby a memory component is tested for defects at the time of manufacture and any memory defects detected are stored in a memory defect map and used to optimize the system performance. The memory defect map is updated and the system's remapping resources optimized as new memory defects are detected during operation.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: May 24, 2011
    Assignee: Dell Products L.P.
    Inventors: Forrest E. Norrod, Jimmy D. Pike, Tom L. Newell
  • Patent number: 7941721
    Abstract: A device and a method for testing a connectivity between a first device and a second device, the method includes: writing, at a first frequency and in a serial manner, a first test word to a source boundary scan register; writing a content of the source boundary scan register, at a second frequency and in a parallel manner, to a target boundary scan register; wherein the second frequency is higher than the first frequency; reading the content of the target boundary scan register; wherein the source and target boundary scan registers are selected from a first boundary scan register of the first device and a second boundary scan register of the second device; and evaluating a connectivity between the first and second device in response to a relationship between the first test word and the content of the target boundary scan register.
    Type: Grant
    Filed: August 31, 2009
    Date of Patent: May 10, 2011
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Michael Priel, Leonid Fleshel, Anton Rozen
  • Patent number: 7930609
    Abstract: A circuit verifying method is provided for a logic circuit of a first sequential circuit which outputs a first data based on an input data in synchronization with a first clock signal, and a second sequential circuit which outputs a second data based on the first data in synchronization with a second clock signal with a period longer than that of a first clock signal. The circuit verifying method includes detecting a change of the input data in synchronization with the first clock signal; outputting a data indicating a meta stable state during a period longer than one period of the first clock signal based on the change of the input data as the first data; storing the changed input data in a storage unit based on the change of the input data; and outputting the changed input data which has been stored in the storage unit as the first data after stop the output of the data indicating the meta stable state.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: April 19, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Tsuyoshi Inagawa
  • Patent number: 7930601
    Abstract: A method for implementing at speed bit fail mapping of an embedded memory system having ABIST (Array Built In Self Testing), comprises using a high speed multiplied clock which is a multiple of an external clock of an external tester to sequence ABIST bit fail testing of the embedded memory system. Collect store fail data during ABIST testing of the embedded memory system. Perform a predetermined number of ABIST runs before issuing a bypass order substituting the external clock for the high speed multiplied clock. Use the external clock of the tester to read bit fail data out to the external tester.
    Type: Grant
    Filed: February 22, 2008
    Date of Patent: April 19, 2011
    Assignee: International Business Machines Corporation
    Inventors: Joseph Eckelman, Donato O. Forlenza, Orazio P. Forlenza, William J. Hurley, Thomas J. Knips, Gary William Maier, Phong T. Tran
  • Patent number: 7925952
    Abstract: A method for testing functionality on a JAVA enabled device is provided. The method includes downloading a test to the JAVA enabled device from a management unit having access to the test. The management unit is connected with a partner device polling the management unit. A message is forwarded from the JAVA enabled device to the partner device through the management unit. The expected content of the message is forwarded from the JAVA enabled device to the partner device, through the management unit. The message is then compared to the expected content. A system and a graphical user interface are also included.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: April 12, 2011
    Assignee: Oracle America, Inc.
    Inventors: Ron Katz, Victor Rosenman, Yaniv Vakrat, Omer Pomerantz
  • Patent number: 7913142
    Abstract: A method for testing at least two arithmetic units installed in a control unit includes: loading of first test data for testing a first arithmetic unit; saving the loaded first test data in a second memory unit of a second arithmetic unit; switching the first arithmetic unit to a test mode, in which a first scan chain of the first arithmetic unit is accessible; reading the first test data from the second memory unit; shifting the first test data which have been read through the first scan chain of the first arithmetic unit switched to the test mode for providing test result data for the first arithmetic unit; checking the provided test result data for plausibility for providing a test result for the first arithmetic unit.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: March 22, 2011
    Assignee: Robert Bosch GmbH
    Inventor: Axel Aue
  • Patent number: 7913137
    Abstract: Disclosed herein are exemplary embodiments of a so-called “X-press” test response compactor. Certain embodiments of the disclosed compactor comprise an overdrive section and scan chain selection logic. Certain embodiments of the disclosed technology offer compaction ratios on the order of 1000×. Exemplary embodiments of the disclosed compactor can maintain about the same coverage and about the same diagnostic resolution as that of conventional scan-based test scenarios. Some embodiments of a scan chain selection scheme can significantly reduce or entirely eliminate unknown states occurring in test responses that enter the compactor. Also disclosed herein are embodiments of on-chip comparator circuits and methods for generating control circuitry for masking selection circuits.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: March 22, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer
  • Patent number: 7907050
    Abstract: A system and method for configuring and monitoring devices utilizing a power line network is provided herein. Each network device (e.g., programmable logic controller, machine, or sensor) contains a power line interface to facilitate communication over a power line network. The power line interfaces contain at least one unique identifier to distinguish the interface and its associated network device from other devices on the same network and thereby enable device message exchange. The ubiquity of power lines in an industrial environment or any environment for that matter provides an accommodating medium of communication, which the subject invention exploits to set-up, configure, and program industrial control devices connected thereto. Furthermore, the subject invention can utilizes power lines after configuration, during system operation, or upon device failure to monitor, diagnose, and/or predict the health of a system device.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 15, 2011
    Assignee: Rockwell Automation Technologies, Inc.
    Inventor: David M. Callaghan
  • Patent number: 7890831
    Abstract: A system and method for testing a processor. The system includes a gold processor and a test processor, wherein the test processor is the device under test (DUT). The test processor and the gold processor are identical. A first memory is coupled to the gold processor by a first memory bus and a second memory, independent of the first, is coupled to the test processor by a second memory bus. The first and second memories are identical. A memory bus comparator coupled to the first and second memory buses compares memory bus signals generated by the gold and test processors, and selectively provide a first indication if a mismatch occurs. A peripheral bus comparator is also coupled to the gold and test processors, and compares downstream transactions generated by the gold and test processors and to provide a second indication if a peripheral bus comparison results in a mismatch.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: February 15, 2011
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Michael L. Choate, Mark D. Nicol, Heather L. Hanson, Michael J. Borsch, Arthur M. Ryan, Chandrakant Pandya
  • Patent number: 7886206
    Abstract: A semiconductor memory test device and method thereof are provided. The example semiconductor memory test device may include a fail memory configured to store at least one test result of a memory under test, a mode selecting unit configured to output a selection signal for selecting a memory address protocol of the fail memory based upon which one of a plurality of test modes is active in the memory under test and an address arranging unit configured to arrange address signals to conform with the selected memory address protocol in response to the selection signal received from the mode selecting unit.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: February 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-Young Park, Ki-Sang Kang
  • Patent number: 7873890
    Abstract: A method, system and computer program product for performing device characterization Logic Built-In Self-Test (LBIST) in an IC device. Test parameters of the LBIST are saved in a memory of the IC device, and nominal operational parameters of the IC device are used to define a signature of the LBIST. A determination whether the LBIST is passed or failed is made within the characterized IC device.
    Type: Grant
    Filed: June 30, 2008
    Date of Patent: January 18, 2011
    Assignee: International Business Machines Corporation
    Inventors: Abel Alaniz, Robert B. Gass, Asher S. Lazarus, Timothy M. Skergen
  • Patent number: 7870519
    Abstract: A method for testing an integrated circuit and analyzing test data. The method includes: defining a set of signal path selection criteria; selecting a subset of signal paths of an integrated circuit design, the selecting signal paths meeting the selection criteria; identifying pattern observation points for each signal path of the subset of signal paths; selecting a set of features associated with the integrated circuit design; applying a set of test patterns to one or more integrated circuit chips; determining failing signal paths of the subset of signal paths for each integrated circuit chip; mapping failing signal paths of the subset of signal paths to the set of features to generate a correspondence between the failing signal paths and the features; and analyzing the correspondence and identifying suspect features of the set of features based on the analyzing.
    Type: Grant
    Filed: November 19, 2007
    Date of Patent: January 11, 2011
    Assignee: International Business Machines Corporation
    Inventors: Rao H. Desineni, Maroun Kassab, Leah Marie Pfeifer Pastel
  • Patent number: 7856582
    Abstract: A method, system and computer program product for performing real-time LBIST diagnostics of IC devices. During LBIST, stump data and identifiers of test cycles are saved in the IC device-under-test (DUT). If compressed stump data does not match a pre-defined coded value (i.e., “signature” of the test cycle), the saved stump data and an identifier of the failed test cycle are preserved, otherwise the determination is made the DUT passed the test cycle. Identifiers and stump of the failed test cycles are used to analyze errors, including virtually non-reproducible errors.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: December 21, 2010
    Assignee: International Business Machines Corporation
    Inventors: Daniel W. Cervantes, Robert B. Gass, Joshua P. Hernandez, Timothy M. Skergan
  • Patent number: 7853839
    Abstract: Systems and methodologies are described that facilitate verifying correctness of FLO test application protocol (FTAP) data packets. According to various aspects, systems and/or methods are described that enable generating an expected test signature at an FTA client (e.g., mobile device), receiving FTAP flow data packets and/or effectuating comparisons between received and expected data. Such systems and/or methods may further resynchronize client-side generation to an FTA server.
    Type: Grant
    Filed: April 4, 2006
    Date of Patent: December 14, 2010
    Assignee: QUALCOMM Incorporated
    Inventors: Sacchindrakumar Gopikisan Kalantri, Jake Levi
  • Patent number: 7853837
    Abstract: A system, among other embodiments, includes a memory controller having an integrated BER circuit and a plurality of memory devices. The memory controller also includes a control circuit and an interface having at least one transmit circuit to provide write data to at least one of the memory devices and at least one receive circuit to receive read data from at least one of the memory devices. The BER circuit includes a request generator circuit that outputs a request for a memory transaction. A request multiplexer selectively outputs a memory request to the interface from the request generator circuit or the control circuit. A data generator circuit outputs corresponding write data. A first write multiplexer selectively outputs the write data to the interface from the data generator circuit or the control circuit. A read multiplexer selectively receives read data from the receive circuit. The data generator circuit also outputs corresponding write data to a comparator circuit via a second write multiplexer.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: December 14, 2010
    Assignee: Rambus Inc.
    Inventors: Richard E. Perego, Christopher J. Madden
  • Publication number: 20100313091
    Abstract: A plurality of tester channels is provided. The tester channels are capable of outputting double speed test patterns when a pin-multiplex-mode is designated. Each of the tester channels is provided with a level determination unit to output a level determination signal, a signal multiplexing unit, and an expected value comparison unit to receive an output from the signal multiplexing unit. The signal multiplexing unit multiplexes an outputted level determination signal obtained in one of the tester channels and a level determination signal obtained from a level determination unit of another one of the tester channels when a double speed test mode is designated. The signal multiplexing unit outputs a signal corresponding to the level determination signal of the one of the tester channels when the double speed test mode is canceled. A strobe time can be set individually for each of the tester channels to obtain a comparison result.
    Type: Application
    Filed: June 4, 2010
    Publication date: December 9, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Yoshiaki Kodashiro
  • Patent number: 7849375
    Abstract: A semiconductor test system includes: pin electronics (“PE”) cards each being operable to: a) apply a test pattern to device under tests (“DUTs”) each connected to the PE cards; b) capture patterns outputted in response to the test pattern from the DUTs; c) compare the patterns with an expected value pattern; and d) determine whether or not the patterns correspond with the expected value pattern, and a fail control card being operable to: e) aggregate fail information about the DUTs inputted through the PE cards every the DUTs; and f) transfer the fail information to the PE cards.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: December 7, 2010
    Assignee: Yokogawa Electric Corporation
    Inventors: Fumihiro Saito, Naoki Miyazaki
  • Patent number: 7849373
    Abstract: Example embodiments relate to a method and system of testing a memory module having the process of receiving single ended input signals via differential input terminals through which differential pairs of packet signals may be received from a testing equipment, wherein a number of terminals of the testing equipment may be different from a number of terminals of the memory module, and testing memory chips of the memory module based on the single ended input signals.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: December 7, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seung-Man Shin, Byung-Se So, Seung-Jin Seo, You-Keun Han
  • Publication number: 20100306608
    Abstract: Systems and methods for deriving a net equation representing a net state of an analog circuit net, wherein the net equation is derived from at least one other net state, determining a truthfulness of the net equation, reporting the truthfulness.
    Type: Application
    Filed: January 26, 2010
    Publication date: December 2, 2010
    Applicant: INSIGHT EDA INC
    Inventor: Jesse Conrad Newcomb
  • Patent number: 7844869
    Abstract: A method and circuit implement testing of a circuit path including a memory array and logic including Logic Built in Self Test (LBIST) diagnostics, and a design structure on which the subject circuit resides are provided. Testing of the circuit path includes initializing the memory array in the circuit path with an initialization pattern, switching to Logic Built in Self Test (LBIST) mode and providing a read only mode for the memory array, and running Logic Built in Self Test (LBIST) testing of the circuit path.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Louis Bernard Bushard, Todd Alan Christensen, Jesse Daniel Smith
  • Patent number: 7840841
    Abstract: A network device, such as a router or switch, has a CPU and a memory operable to receive, store and output computer code. The code includes device configuration files, traffic pattern files, and standard-behavior-output template files adapted for detecting network device functional defects and bottlenecks. The device is operable in a testing mode to act as either a Device Testing Doctor (DTD) or a Device Under Test (DUT), in which it loads into or accepts from a related, interconnected and similarly configured and operable network device selected ones of the device configurations, transmits to or receives from the other device selected ones of the input traffic patterns, compares its own output or that of the other device in response to the input traffic pattern with selected ones of the standard-behavior-output templates, and detects a network device defect or bottleneck in itself or in the other device based on the comparison.
    Type: Grant
    Filed: September 27, 2007
    Date of Patent: November 23, 2010
    Assignee: Cisco Technology, Inc.
    Inventors: Kung-Shiuh Huang, Hsiu-Ling Lee
  • Publication number: 20100281320
    Abstract: A method for allowing measurement corrections on a chip-by-chip basis. Error correction values are generated responsive to the input value to a circuit of the calibrated integrated circuit chip and to a measured value from the circuit of the calibrated integrated circuit chip. The error correction values are stored within an error correction table within a nonvolatile memory of the integrated circuit chip.
    Type: Application
    Filed: July 12, 2010
    Publication date: November 4, 2010
    Applicant: INTERSIL AMERICAS INC.
    Inventor: RICHARD A. DUNIPACE
  • Patent number: 7818638
    Abstract: Disclosed are methods, systems and devices, such as a device including a data location, a quantizing circuit coupled to the data location, and a test module coupled to the quantizing circuit. In one or more embodiments, the test module can include a linear-feedback shift register.
    Type: Grant
    Filed: June 15, 2007
    Date of Patent: October 19, 2010
    Assignee: Micron Technology, Inc.
    Inventor: R. Jacob Baker
  • Patent number: 7818645
    Abstract: Systems, methods, and a computer program are disclosed. One embodiment comprises a compiler for developing verification tests of an integrated circuit. The compiler comprises an interface and a built-in self-test (BIST) emulator. The interface includes an input and an output. The interface receives and forwards operator-level instructions to the BIST emulator, which is coupled to the output. The BIST emulator simulates the operation of a BIST module within the integrated circuit.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: October 19, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Elias Gedamu, Denise Man, Eric Richard Stubblefield, Oguz Ertekin
  • Patent number: 7814381
    Abstract: A semiconductor memory device is adapted so that access time can be measured accurately when the device is in a test mode. A read or write operation of a memory array in the normal mode is performed in accordance with a first signal, a read or write operation of the memory array in the test mode is performed in accordance with a second signal, and a test of a plurality of items of output data from the memory array is conducted in the test mode and results of the test are output. It is so arranged that a desired test is conducted in the test mode based upon a third signal unrelated to the first signal and second signal.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: October 12, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Seiji Ozeki
  • Patent number: 7808888
    Abstract: A method and network device are shown that include identifying at least one fault identifier to be a member of a first fault set, and identifying at least one fault identifier to be a member of a second fault set, the first fault set associated with a first path from a source node to a destination node, and the second fault set associated with a second path from the source node to the destination node. Further, the method and network device include identifying a reduced fault set by eliminating the second fault set, when the first fault set is a subset of the second fault set.
    Type: Grant
    Filed: February 25, 2008
    Date of Patent: October 5, 2010
    Assignee: Cisco Technology, Inc.
    Inventors: Danny Kibel, Gadi Geffen, Boris Roussabrov, Adi Shemesh
  • Patent number: 7797599
    Abstract: From a logic device comprising logic circuits and a built-in self-test system (BIST) comprising scan chains, diagnostic information is obtained by using the scan chains to apply a stimulus vector to the logic circuits, to capture responses of the logic circuits to the stimulus vector and to shift the captured responses towards the outputs of the scan chains; generating a representative signature representing the responses output by the scan chains; concurrently storing the responses output by the scan chains temporarily such no more than a most-recently output subset of the responses is stored; determining whether the representative signature is a fault-indicating representative signature; and, when the representative signature is a fault-indicating representative signature, outputting at least some of the stored responses. The output responses are usable as diagnostic information.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: September 14, 2010
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Ajay Khoche, Klaus-Dieter Hilliges
  • Patent number: 7797596
    Abstract: A method for testing an integrated circuit implemented in an electronic system. The method includes placing an integrated circuit (or portion thereof) that is implemented in an operational system (e.g., in a computer system) in an offline status. An electrical parameter of the integrated system (e.g., a voltage, clock frequency, etc.) is set, and a built-in self-test (BIST) is conducted. Any failures that occur during the BIST are recorded. Testing is then repeated for each of a plurality of predetermined values of the electrical parameter, recording any failures that occur. Once testing is complete a failure rate/range is determined for each of the predetermined values.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: September 14, 2010
    Assignee: Oracle America, Inc.
    Inventors: Anand Dixit, Raymond A. Heald, Steven R. Boyle
  • Patent number: 7793187
    Abstract: Provided are a method and system checking output from multiple execution units. Execution units concurrently execute test instructions to generate test output, wherein test instructions are transferred to the execution units from a cache coupled to the execution units over a bus. The test output from the execution units is compared to determine whether the output from the execution units indicates the execution units are properly concurrently executing test instructions. The result of the comparing of the test output are forwarded to a design test unit.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: September 7, 2010
    Assignee: Intel Corporation
    Inventors: Allan Wong, Lance Cheney
  • Patent number: 7793041
    Abstract: A method, system, and machine-readable medium for controlling access to data of a tape data storage medium are disclosed. In accordance with one embodiment, a method is provided which comprises conveying data access control metadata from a tape cartridge comprising a tape data storage medium to a host, receiving decrypted metadata from the host, comparing a checksum value determined utilizing the decrypted metadata with checksum data stored within the tape cartridge; and processing a request to access the tape data storage medium received from the host based upon a comparison of the checksum value and checksum data. In the described method embodiment, the data access control metadata comprises encrypted metadata corresponding to a data storage parameter, where data is stored within the tape data storage medium utilizing the data storage parameter and the decrypted metadata is generated by the host utilizing the encrypted metadata.
    Type: Grant
    Filed: April 30, 2008
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventors: Glen A. Jaquette, James M. Karp
  • Patent number: 7793184
    Abstract: A method, system and computer readable medium for on-chip testing is presented. In one embodiment, the method, system or computer readable medium includes identifying which LBIST channels of a plurality of LBIST channels do not contribute to a particular test and excluding from that particular test each LBIST channel that does not contribute to that particular test.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: September 7, 2010
    Assignee: International Business Machines Corporation
    Inventor: Steven M. Douskey
  • Patent number: 7793171
    Abstract: Embodiments of the present invention provide a protocol tester for performing a protocol test, said protocol tester exhibiting an input for the feeding in of data, a protocol decoding device for the decoding of data, and an output for providing the decoded data, the protocol tester also comprising a device for measuring the bit error rate. A corresponding method for performing a protocol test is also provided.
    Type: Grant
    Filed: October 29, 2007
    Date of Patent: September 7, 2010
    Assignee: Tektronix, Inc.
    Inventor: Juergen Forsbach
  • Patent number: 7788553
    Abstract: A high volume testing/formatting process is provided for Universal Serial Bus-based (USB-based) electronic data flash cards (USB devices) that meets the increasing demand for USB electronic data flash cards (USB devices). A test host is simultaneously coupled to the multiple USB devices (e.g., using a multi-port card reader or a probe fixture), a controller endpoint value is read from each of the USB devices and verified with a known good value, and then testing/formatting is performed on each of the USB devices by writing predetermined data into each USB device in a pipelined manner, then reading out and testing the predetermined data. In one embodiment, the test host implements a special USB driver that blocks standard USB registration procedures upon detecting the plurality of USB devices. Control and/or boot code data are written onto the flash memory device (i.e., instead of being provided on a controller ROM).
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: August 31, 2010
    Assignee: Super Talent Electronics, Inc.
    Inventors: David Q. Chow, Abraham C. Ma, Edward W. Lee, Ming-Shiang Shen
  • Patent number: 7783938
    Abstract: Provided is a method and system for diagnosing a test system to determine whether a condition of the test system contributed to an undesirable measurement result. The method includes interrogating a device under test comprising at least one of transmitting an electric signal to energize a device under test by the test system and conducting a passive measurement that does not require the device under test to be energized to be performed to determine if the device under test satisfies a design parameter. The method further includes processing an output signal including at least one of a responsive electric signal transmitted from the device under test in response to being energized and a passive signal corresponding to the passive measurement, and comparing a value of a property of the output signal to a reference value. Responsive to the comparing, the method determines whether the value of the output signal is within an acceptable tolerance of the reference value.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: August 24, 2010
    Assignee: Keithly Instruments, Inc.
    Inventors: William F. Merkel, Paul Grinberg
  • Patent number: 7769558
    Abstract: A waveform generation and measurement module that may be used in automated test equipment. The waveform generation and measurement module includes high speed SERDES (or other shift registers) that are used to digitally draw a test waveform. Additional high speed SERDES may also be used to receive (in serial form) a response waveform from a device under test and convert it to parallel data for high speed processing. The waveform generation and measurement module may be implemented in field programmable gate array logic.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: August 3, 2010
    Assignee: Asterion, Inc.
    Inventors: William F. Kappauf, Barry E. Blancha, Tetsuro Nakao
  • Patent number: 7761764
    Abstract: A system and method for self-test of an integrated circuit are disclosed. As one example, an integrated circuit is disclosed. The integrated circuit includes a digital signal processing chain, a random sequence generator coupled to an input of the digital signal processing chain, and a checksum calculator coupled to an output of the digital signal processing chain.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: July 20, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: William M. Hurley
  • Patent number: 7743304
    Abstract: A test system for performing tests on devices under test (DUTs) includes a storage device storing test data for performing the tests on the DUTs, a shared processor for generating the test data, storing the test data in the storage device and generating a test control signal including one or more test instructions for executing the tests, and, for each DUT, a dedicated processor configured to receive a test control signal from the shared processor, and in response to the test control signal, transfer the test data for one of the test instructions to the DUT to execute that test instruction and verify the completion of that test instruction.
    Type: Grant
    Filed: February 17, 2006
    Date of Patent: June 22, 2010
    Assignee: Verigy (Singapore) Pte. Ltd.
    Inventors: Erik H. Volkerink, Edmundo De La Puente
  • Patent number: 7743303
    Abstract: A non-volatile memory device includes a block remapping system that offsets an input block address by the addresses of non-functional blocks to provide an output block address that is used to address the memory device. The system generates the output block addresses by, in effect, adding to the input block address the addresses of all non-functional blocks of memory that are between an initial address and the output block address. The system performs this function be comparing the input block address to the address of any defective block. If the address of the defective block is less than or equal to the input block address, the addresses of all defective blocks starting at the block address are added to the input block address. The system then iteratively performs this process using each output block address generated by the system in place of the input block address.
    Type: Grant
    Filed: January 22, 2007
    Date of Patent: June 22, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Dean Nobunaga, Hanqing Li
  • Patent number: 7743301
    Abstract: A semiconductor integrated circuit includes an MISR (Multiple-Input Signature Register) for generating and storing compressed code based upon code from a ROM, and for reading out and outputting the compressed data that has been stored. The MISR has a clock change-over unit for changing over a clock in such a manner that the MISR is caused to operate at a high-speed clock when the compressed data is generated and stored, and at a low-speed clock when the stored compressed data is read out and output.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: June 22, 2010
    Assignee: NEC Electronics Corporation
    Inventor: Yasunori Sawai
  • Publication number: 20100153800
    Abstract: The invention provides a logic tester. In one embodiment, the logic tester is coupled to a plurality of tested devices, and includes a function generator and a pattern comparator. The function generator generates an initial code sequence as an input signal of the tested devices to fix output signals of the tested devices to a first value, and then generates a functional code sequence as the input signal of the tested devices to trigger the output signals of the tested devices to change from the first value to a second value. The pattern comparator converts the output signals of the tested devices to a plurality of bitstreams after the functional code sequence is generated, calculates numbers of bits corresponding to the first value in the bitstreams, estimates delay periods of the tested devices according to the numbers of bits, and outputs the delay periods of the tested devices.
    Type: Application
    Filed: December 15, 2009
    Publication date: June 17, 2010
    Inventors: Yung-Yu Wu, Huei-Huang Chen
  • Patent number: 7739570
    Abstract: A system and method to reduce verification time by sharing memory between multiple test patterns and performing results checking after each test pattern executes one time is presented. A test pattern generator generates multiple test pattern sets, each of which including multiple test patterns. Each test pattern set is executed by a corresponding thread/processor until each test pattern included in the test pattern set has executed at least once. After all test patterns have executed at least once, a test pattern executor performs a memory error detection check to determine whether the system is functioning correctly. Since the invention described herein waits until all test patterns have executed before performing a memory error detection check, less time is spent on memory error detection checks, which allows more time to execute test patterns.
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: Sandip Bag, Shubhodeep Roy Choudhury, Manoj Dusanapudi, Sunil Suresh Hatti, Shakti Kapoor, Rahul Sharad Moharil
  • Patent number: 7739571
    Abstract: In a semiconductor integrated circuit 11, there is constructed a test expected value programming circuit 100 having an input/input-output pad 103 for retrieving a ground/power-source signal 104 from a ground terminal 30 or a power source terminal 31 connected to the semiconductor integrated circuit 11, a switch 105 for selectively switching the outputting of the ground/power-source signal 104 inputted via the input/input-output pad 103, and an expected value generation circuit 13 for generating a test expected value signal 21 based on a switch output signal 122 outputted from the switch 105.
    Type: Grant
    Filed: September 27, 2005
    Date of Patent: June 15, 2010
    Assignee: Panasonic Corporation
    Inventors: Yasuteru Maeda, Toshinori Maeda
  • Patent number: 7739572
    Abstract: A tester for testing a semiconductor device is disclosed. The tester for testing the semiconductor device employs a data selector for converting a logical test pattern data transmitted from a pattern generator into a physical test pattern data and an expected data based on the logical test pattern data, thereby generating various timings based on a time delay instead of using a plurality of clocks to improve a test efficiency and reduce a manufacturing cost.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: June 15, 2010
    Assignee: UniTest Inc.
    Inventor: Jong Koo Kang
  • Patent number: 7734966
    Abstract: The present invention provides a method and system for improving memory testing efficiency, raising the speed of memory testing, detecting memory failures occurring at the memory operating frequency, and reducing data reported for redundancy repair analysis. The memory testing system includes a first memory tester extracting failed memory location information from the memory at a higher memory operating frequency, an external memory tester receiving failed memory location information at a lower memory tester frequency, and an interface between the first memory tester and the external memory tester. The memory testing method uses data strobes at the memory tester frequency to clock out failed memory location information obtained at the higher memory operating frequency. In addition, the inventive method reports only enough information to the external memory tester for it to determine row, column and single bit failures repairable with the available redundant resources.
    Type: Grant
    Filed: February 26, 2007
    Date of Patent: June 8, 2010
    Assignee: Marvell International Ltd.
    Inventors: Winston Lee, Albert Wu, Chorng-Lii Liou
  • Patent number: 7734967
    Abstract: A semiconductor memory device, having a test mode and a normal mode, includes a frequency multiplier and a test command sequence generator. The frequency multiplier receives a test clock signal in the test mode and generates multiple internal test clock signals, each of which has a frequency equal to a frequency of an operation clock signal in the normal mode. The test clock signal has a frequency lower than the frequency of the operation clock signal. The test command sequence generator generates at least one command signal in response to the internal test clock signals in the test mode. The at least one command signal corresponds to at least one operation timing parameter of the semiconductor memory device that is to be measured. The frequency multiplier may include a Phase Locked Loop (PLL) or a Delay Locked Loop (DLL).
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: June 8, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hoe-ju Chung, Yun-sang Lee
  • Patent number: 7734973
    Abstract: An apparatus enables a high quality test to be carried out within a short time, without forcing a severe design limitation on the designer and without an expensive tester. The apparatus includes a pattern generator built in an integrated circuit to generate pseudo random patterns as test patterns. A plurality of shift registers are configured with sequential circuit elements inside said integrated circuit. An automatic test pattern generating unit generates ATPG patterns. A pattern modifier modifies a portion, to which a predetermined value is required to be set in order to detect a fault, in said pseudo random patterns generated by said pattern generator, on a basis of said ATPG patterns, and inputs said modified pseudo random patterns to said shift registers.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: June 8, 2010
    Assignee: Fujitsu Microelectronics Limited
    Inventors: Takahisa Hiraide, Hitoshi Yamanaka
  • Patent number: 7725780
    Abstract: Methods and apparatuses for enabling a redundant memory element (20) during testing of a memory array (14). The memory array (14) includes general memory elements (18) and redundant memory elements (20). The general memory elements (18) are tested and any defective general memory elements (18) are replaced with redundant memory elements (20). The redundant memory elements (20) are tested only when they are enabled.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: May 25, 2010
    Assignee: International Business Machines Corporation
    Inventors: Michael R. Ouellette, Jeremy Rowland
  • Patent number: 7725782
    Abstract: A method and apparatus is provided for detecting random access memory (RAM) failure for data with a plurality of addresses. The method comprises generating a plurality of RAM test patterns in a predetermined order, implementing a RAM test pattern on each data address in an initial testing pass, based on the predetermined order of the RAM test patterns, rotating the RAM test patterns sequentially to prepare for a new testing pass, and implementing the RAM test patterns on different data addresses in the new testing pass. The apparatus comprises means for generating a plurality of RAM test patterns in a predetermined order, means for implementing a RAM test pattern on each data address in an initial testing pass, based on the predetermined order of the RAM test patterns, means for rotating the RAM test patterns sequentially to prepare for a new testing pass, and means for implementing the RAM test patterns on different data addresses in the new testing pass.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: May 25, 2010
    Assignee: GM Global Technology Operations, Inc.
    Inventor: Kerfegar K. Katrak