Timing Verification (timing Analysis) Patents (Class 716/108)
  • Patent number: 9749718
    Abstract: Disclosed are systems, methods, and computer-readable storage media for adaptive telemetry based on in-network cross domain intelligence. A telemetry server can receive at least a first telemetry data stream and a second telemetry data stream. The first telemetry data stream can provide data collected from a first data source and the second telemetry data stream can provide data collected from a second data source. The telemetry server can determine correlations between the first telemetry data stream and the second telemetry data stream that indicate redundancies between data included in the first telemetry data stream and the second telemetry data stream, and then adjust, based on the correlations between the first telemetry data stream and the second telemetry data stream, data collection of the second telemetry data stream to reduce redundant data included in the first telemetry data stream and the second telemetry data stream.
    Type: Grant
    Filed: July 20, 2016
    Date of Patent: August 29, 2017
    Assignee: CISCO TECHNOLOGY, INC.
    Inventors: Joseph Friel, Hugo Latapie, Andre Surcouf, Enzo Fenoglio
  • Patent number: 9747399
    Abstract: Described is a machine-readable storage media having one or more machine executable instructions stored there on that when executed cause one or more processors to perform an operation comprising: define properties of a layout grid, wherein the layout grid provides a three dimensional (3D) space for organizing a plurality of objects on the layout grid; and define rules for the plurality of objects, wherein the rules define a relationship between the plurality of objects with reference to the defined properties of the layout grid.
    Type: Grant
    Filed: September 22, 2015
    Date of Patent: August 29, 2017
    Assignee: Intel Corporation
    Inventors: Gyorgy Suto, Aaron B. Kohlmeier
  • Patent number: 9747252
    Abstract: The present invention classifies all critical paths into two basic types: a series critical path and a feedback critical path, and divides each of wave-pipelined circuits into two components: a static logic part, called critical path component (CPC), and a dynamic logic part, formalized into four wave-pipelining components (WPC) shared by all wave-pipelined circuits. Each wave-pipelining ready code in HDL comprises two components: a WPC instantiation and a CPC instantiation wire-connected and linked by a new link statement. Each WPC has new wave constants which play the same role as generic constants do, but whose initial values are determined and assigned by a synthesizer after code analysis, so designers can use after-synthesization information in their code before synthesization for wave-pipelining technology.
    Type: Grant
    Filed: February 5, 2016
    Date of Patent: August 29, 2017
    Inventor: Weng Tianxiang
  • Patent number: 9710579
    Abstract: A system and method for simulating the timing of an integrated circuit design using abstract timing models. An abstract or smart timing model is created as a model of a design component or block having partial timing that includes the timing for the boundary or interface logic but removes timing for internal registers. The smart timing model may additionally preserve the timing for asynchronous or multi-cycle paths, or add interconnect delays for certain internal elements, to ensure accurate timing.
    Type: Grant
    Filed: December 2, 2013
    Date of Patent: July 18, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Gagandeep Singh, Pawan Deep Gandhi
  • Patent number: 9710515
    Abstract: This analysis system analyzes the behavior of a DBMS in a computer system having a computer which executes tasks in parallel and reads data from a database (DB) when executing a query. This analysis system acquires the number of selected rows corresponding to a key value of an index key used in the query, and calculates a model-based predicted degree of processing parallelism of the processing corresponding to the query. The system acquires, from the storage device, event information on an input-output event with respect to the storage medium when the processing corresponding to the query is executed actually, calculates a measured degree of processing parallelism when the processing corresponding to the query is executed actually, based on the event information, and displays information based on the model-based predicted degree of processing parallelism and the measured degree of processing parallelism.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: July 18, 2017
    Assignees: Hitachi, Ltd., The University of Tokyo
    Inventors: Hideomi Idei, Norifumi Nishikawa, Nobuo Kawamura, Kazuhiko Mogi, Masaru Kitsuregawa, Masashi Toyoda, Kazuo Goda
  • Patent number: 9710593
    Abstract: Disclosed are techniques for enhancing timing analyses with reduced timing libraries for electronic designs. These techniques determine dominance relations for multiple timing models for timing analyses and generate a dominance adjacency data structure based at least in part upon the dominance relations. The dominance adjacency data structure may be stored at a first location of a non-transitory computer accessible storage medium. The plurality of timing models may be reduced into a reduced set of timing models at least by providing the dominance adjacency data structure as an input to a transformation and further by transforming the dominance adjacency data structure with the transformation into the reduced set of timing models that are used in timing analyses for an electronic design or a portion thereof.
    Type: Grant
    Filed: October 14, 2015
    Date of Patent: July 18, 2017
    Assignee: Cadence Design Systems, Inc.
    Inventors: Igor Keller, Mikhail Chetin, Xiaojun Sun
  • Patent number: 9710591
    Abstract: A method for designing a system on a target device includes identifying a timing exception for a portion of a signal path. An area on the target device that includes components affected by the timing exception. Constraints are generated that prevent registers residing in the area from being used for register retiming.
    Type: Grant
    Filed: February 20, 2015
    Date of Patent: July 18, 2017
    Assignee: Altera Corporation
    Inventors: Salem Derisavi, Gordon Raymond Chiu, Benjamin Gamsa
  • Patent number: 9646031
    Abstract: Data objects stored in a data store include data attribute(s) and associated value(s) for the attributes. Data analysis tools (DATs) stored in a data store are associated with reference data attribute(s). The data objects are identified by one or more DATs based on each reference data attribute(s) of a corresponding DAT matching one of the data attribute(s) of the corresponding data object(s) and independent of the value for the data attribute(s). The DATs generate an additional data object as a function of the identified data object, and the additional data object is stored in the data store.
    Type: Grant
    Filed: February 15, 2016
    Date of Patent: May 9, 2017
    Assignee: Monsanto Technology, LLC
    Inventors: Christopher Allen Taylor, Ryan Jerry Richt
  • Patent number: 9646122
    Abstract: Systems and methods compute a mean timing value of an integrated circuit design for variables using a first timing calculation of relatively higher accuracy; and calculate a first timing value of the integrated circuit design for the variables, using a second timing calculation having a relatively lower accuracy. Such systems and methods calculate second timing values of the integrated circuit design for additional sets of variables using the second timing calculation; and calculate finite differences of each of the second timing values to the first timing value. Thus, these systems and methods calculate a statistical sensitivity of the first timing value to the additional sets of variables based on the finite differences. Further, such systems and methods calculate a statistical sensitivity of the mean timing value to the additional sets of values based on the statistical sensitivity of the first timing value to the additional sets of values.
    Type: Grant
    Filed: August 12, 2016
    Date of Patent: May 9, 2017
    Assignee: International Business Machines Corporation
    Inventors: Eric A. Foreman, Jeffrey G. Hemmett, Kerim Kalafala, Gregory M. Schaeffer, Stephen G. Shuma, Alexander J. Suess, Chandramouli Visweswariah, Michael H. Wood
  • Patent number: 9619599
    Abstract: A method of checking joule heating of an integrated circuit design, the method includes dividing the integrated circuit design into a plurality of windows, determining a power index of each window, adjusting the specification current value associated with each of the corresponding windows, and generating a current violation report, by a processor, of the integrated circuit. Each window includes one or more circuit elements. Each circuit element is associated with a corresponding current value. Each window is associated with a corresponding specification current value. Each power index is associated with a corresponding window. An amount of adjustment of the specification current value is a function of the power index of each corresponding window. The current violation report includes one or more entries. Each entry is associated with at least a corresponding window and one or more corresponding current values which exceed the corresponding adjusted specification current value.
    Type: Grant
    Filed: August 28, 2014
    Date of Patent: April 11, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chi-Yeh Yu, Ming-Hsien Lin, Wen-Hao Chen
  • Patent number: 9600617
    Abstract: Improving automated timing analysis includes: generating a directed acyclic graph for an input netlist, generating a second order graph distance metric based at least on the directed acyclic graph, and scheduling a timing calculation for a set of nodes of the input netlist based at least on the second order graph distance metric.
    Type: Grant
    Filed: September 1, 2015
    Date of Patent: March 21, 2017
    Assignee: International Business Machines Corporation
    Inventors: Kerim Kalafala, Natesan Venkateswaran, Chandramouli Visweswariah, Vladimir Zolotov
  • Patent number: 9582635
    Abstract: A method of optimizing timing performance of an IC design is provided. The IC design is expressed as a graph that includes several nodes that represent IC components. The method identifies a path in the graph that starts from a timed source node and ends at a timed target node. The path has several clocked elements and several computational elements. The method optimizes the timing performance of the IC design by skewing clock signals to a set of clocked elements without changing the position of any clocked element relative to the position of the computational elements in the path. The clock signal of at least one clocked element is skewed by more than a period of the clock signal. The method implements the IC design by using the optimized IC design.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: February 28, 2017
    Assignee: Altera Coroporation
    Inventors: Steven Teig, Andrew Caldwell
  • Patent number: 9552456
    Abstract: A circuit design may have registers and combinational gates. Circuit design computing equipment may perform register retiming in the circuit design, whereby registers are moved across combinational gates. Information about the register moves may be recorded, and a modified circuit design is created. The circuit design computing equipment may implement the circuit design in an integrated circuit. A logic analyzer may be used to debug the circuit design implemented in the integrated circuit in real-time and at high-speed. To facilitate the debugging process, the circuit design computing equipment may augment the integrated circuit and/or compensate for register retiming based on the information recorded during register retiming.
    Type: Grant
    Filed: May 29, 2015
    Date of Patent: January 24, 2017
    Assignee: Altera Corporation
    Inventor: Gordon Raymond Chiu
  • Patent number: 9547732
    Abstract: A circuit design checker receives a circuit design. The circuit design can include a first set of one or more logic components in a first clock domain and a second set of one or more logic components in a second clock domain. The clock domain checker identifies a first subset of the second set of one or more logic components that receive one or more asynchronous clock domain crossings. The circuit design is traversed to determine whether a subset of the one or more asynchronous clock domain crossings does not pass through a signal having an attribute indicating that the signal is intended to be part of the one or more asynchronous clock domain crossings. If such a crossing exists, an error is indicated for the circuit design.
    Type: Grant
    Filed: December 4, 2014
    Date of Patent: January 17, 2017
    Assignee: International Business Machines Corporation
    Inventors: Gabor Drasny, Gavin B. Meil
  • Patent number: 9536024
    Abstract: A circuit design checker receives a circuit design. The circuit design can include a first set of one or more logic components in a first clock domain and a second set of one or more logic components in a second clock domain. The clock domain checker identifies a first subset of the second set of one or more logic components that receive one or more asynchronous clock domain crossings. The circuit design is traversed to determine whether a subset of the one or more asynchronous clock domain crossings does not pass through a signal having an attribute indicating that the signal is intended to be part of the one or more asynchronous clock domain crossings. If such a crossing exists, an error is indicated for the circuit design.
    Type: Grant
    Filed: June 9, 2015
    Date of Patent: January 3, 2017
    Assignee: International Business Machines Corporation
    Inventors: Gabor Drasny, Gavin B. Meil
  • Patent number: 9501606
    Abstract: A method of optimizing timing performance of an IC design is provided. The IC design is expressed as a graph that includes a plurality of nodes representing IC components. The method identifies several paths in the graph that each starts from a timed source node and ends to a timed target node. Each path includes several clocked elements and several computational elements. The method optimizes the timing performance of the IC design by skewing clock signals to one or more clocked elements to satisfy a set of timing constraints. For each identified path, the method determines the ratio of signal travel time from the source node to the destination node to a maximum time allocated for the data signal to travel from the source node to the target node. When the IC design fails timing constraints, the path that has a maximum determined ratio as a cause for timing failure.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: November 22, 2016
    Assignee: Altera Corporation
    Inventors: Steven Teig, Andrew Caldwell
  • Patent number: 9460252
    Abstract: Tools for ranking of generated properties are described. A plurality of circuit design properties are generated from a signal trace of the circuit design. A static analysis of the circuit design properties is performed against one or more circuit design constraints to determine whether the properties are true. Rankings for the circuit design properties are determined responsive to results of the static analysis. The ranking for a circuit design property represents a value of the circuit design property in validating correct functionality of the circuit design. At least some of the circuit design properties are presented in a user interface responsive to the rankings for the circuit design properties.
    Type: Grant
    Filed: April 10, 2014
    Date of Patent: October 4, 2016
    Assignee: Jasper Design Automation, Inc.
    Inventors: Asa Ben-Tzur, Ziyad Hanna
  • Patent number: 9436794
    Abstract: A method of optimizing timing performance of an IC design expressed as a graph that includes several nodes representing IC components is provided. The method identifies several paths in the graph. Each path starts from a timed source node and ends to a timed target node. Each path includes several clocked elements and several computational elements. The method optimizes the timing performance of the IC design by skewing clock signals to one or more clocked elements in a set of paths to satisfy timing constraints. The method identifies a path that includes a set of edge-triggered clocked elements and does not satisfy the set of timing constraints. The method replaces each edge-triggered clocked element in the identified path with a level-sensitive clocked element and optimizes the timing performance of the IC design by skewing clock signals one or more clocked element in the identified path.
    Type: Grant
    Filed: December 24, 2014
    Date of Patent: September 6, 2016
    Assignee: Altera Corporation
    Inventors: Steven Teig, Andrew Caldwell
  • Patent number: 9429610
    Abstract: Techniques for determining the voltage-dependent capacitance of a circuit are described herein. In one embodiment, a method for determining voltage-dependent capacitance of a circuit comprises measuring a parameter of the circuit at each one of a plurality of voltages, and, for each voltage, determining a capacitance of the circuit at the voltage by fitting a resistor-capacitor (RC) model of the circuit to the measured parameter of the circuit at the voltage.
    Type: Grant
    Filed: January 16, 2014
    Date of Patent: August 30, 2016
    Assignee: QUALCOMM Incorporated
    Inventor: Ryan Michael Coutts
  • Patent number: 9429983
    Abstract: A system clock signal distributed to electronic configurable and reconfigurable computing devices within a distributed computing system. The distributed computing devices, which may be dual-die chip carriers (DDCC), include input addressable data/clock ports on which system clock signals are accepted and may be propagated on one or more data/clock output ports. The input and/or output ports of various distributed computing devices may be configured and reconfigured according to system preferences or requirements.
    Type: Grant
    Filed: August 11, 2015
    Date of Patent: August 30, 2016
    Assignee: Advanced Processor Architectures, LLC
    Inventors: Louis Edmund Chall, John Bradley Serson, Philip Arnold Roberts, Cecil Eugene Hutchins
  • Patent number: 9424381
    Abstract: A method for generating a power model for a device includes identifying a device-level set of power contributors for a given state of the device, wherein each power contributor in the device-level set of power contributors contributes to power dissipation when the device is in the given state, and generating the power model for the device based on the device-level set of power contributors, wherein the power model is independent of process, voltage, and temperature.
    Type: Grant
    Filed: July 1, 2013
    Date of Patent: August 23, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Nagashyamala R. Dhanwada, David J. Hathaway, Victor Zyuban
  • Patent number: 9390292
    Abstract: A system for creating protected functional descriptions of integrated circuits provides an encrypted functional description that allows the integrated circuit to be simulated with respect to producing outputs for given sets of inputs without identification of the constituent components of the integrated circuit such as the logical gates making up the integrated circuit. The encrypted functional description may include encrypted truth-tables describing the generic gates of the integrated circuit, the encrypted truth-tables securing the function of each logical gate by including multiple redundant table entries mapped to alias values of Boolean logical states and erroneous table entries.
    Type: Grant
    Filed: December 30, 2013
    Date of Patent: July 12, 2016
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Spencer Millican, Parameswaran Ramanathan, Kewal Saluja
  • Patent number: 9390220
    Abstract: A place and route technique is provided for a programmable logic device to optimize a delay difference between a bus including a plurality of clock to out paths and a corresponding clock out path.
    Type: Grant
    Filed: July 23, 2014
    Date of Patent: July 12, 2016
    Assignee: LATTICE SEMICONDUCTOR CORPORATION
    Inventors: Yanhua Yi, Jun Zhao
  • Patent number: 9384309
    Abstract: Some embodiments of the present invention provide techniques and systems for determining and using margin values. An arrival time at an output pin of a logic gate can be determined. Next, required times at the output pin of the logic gate can be determined. Each required time can be associated with a timing end-point in a path-group, affected by that pin. The system can then determine a first set of slack values at the output pin of the logic gate by computing a difference between the required times and the arrival time. Next, the system can determine a set of margin values at the output pin of the logic gate by computing a difference between the first set of slack values and a second set of slack values at the timing end-points in the path-groups. Next, the system can use the set of margin values to optimize the logic gate.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: July 5, 2016
    Assignee: SYNOPSYS, INC.
    Inventors: Mahesh A. Iyer, Amir H. Mottaez, Rajnish K. Prasad
  • Patent number: 9372502
    Abstract: A system clock signal distributed to electronic configurable and reconfigurable computing devices within a distributed computing system. The distributed computing devices, which may be dual-die chip carriers (DDCC), include input addressable data/clock ports on which system clock signals are accepted and may be propagated on one or more data/clock output ports. The input and/or output ports of various distributed computing devices may be configured and reconfigured according to system preferences or requirements.
    Type: Grant
    Filed: August 11, 2015
    Date of Patent: June 21, 2016
    Assignee: Advanced Processor Architectures, LLC
    Inventors: Louis Edmund Chall, John Bradley Serson, Philip Arnold Roberts, Cecil Eugene Hutchins
  • Patent number: 9337893
    Abstract: System, methods and apparatus are described that facilitate communications circuit design. A first response of a channel to a first signal transmitted through the channel and a second response of the channel to a second signal transmitted through a neighboring channel are determined and a first signal analysis based on the first response and the second response is calculated. A modified second response is determined after modifying the magnitude or timing of the second response to simulate a change in a characteristic of the second signal. A second signal analysis performed using the first response and the modified second response may identify differences in the effects of the second signal and the modified second signal on the first signal. A physical relationship between a pair of connectors of a circuit may be modified based on the magnitude of a scaling factor or phase difference used to obtain the second response.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: May 10, 2016
    Assignee: QUALCOMM Incorporated
    Inventor: Timothy Mowry Hollis
  • Patent number: 9330217
    Abstract: Various techniques are provided to correct for hold time violations using input/output (I/O) block hardware of a programmable logic device (PLD) without requiring additional mapping, placement, or routing operations. In one example, a computer-implemented method includes receiving a design identifying operations to be performed by a PLD. The method also includes assigning components of the PLD to perform the operations. The method also includes routing a signal path among the components. The method also includes detecting a hold time violation for the signal path at an I/O block of the PLD. The method also includes selectively adjusting a variable delay cell of the I/O block to correct the hold time violation.
    Type: Grant
    Filed: June 24, 2014
    Date of Patent: May 3, 2016
    Assignee: LATTICE SEMICONDUCTOR CORPORATION
    Inventors: Yanhua Yi, Jun Zhao
  • Patent number: 9305125
    Abstract: An EDA tool for validating predefined timing paths having corresponding timing constraints in an integrated circuit (IC) design has a processor that performs a static-timing-analysis (STA) of the IC design and generates a STA report that includes the first set of timing constraints, which include a first number of clock cycles required for propagating the first multi-cycle timing path. A simulation-based checker based on a STA that counts a second number of clock cycles that is actually required by the first multi-cycle timing path to propagate is generated while performing a unit-delay, gate-level netlist simulation of the first-multiple cycle timing path. The first set of timing constraints then are modified so that the first multi-cycle timing path is redefined to require the second number of clock cycles to propagate.
    Type: Grant
    Filed: March 3, 2014
    Date of Patent: April 5, 2016
    Assignee: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Vipin Pandey, Sidhartha Taneja
  • Patent number: 9280621
    Abstract: Disclosed are techniques to analyze multi-fabric designs. These techniques generate a cross-fabric analysis model by at least identifying first design data in a first design fabric of a multi-fabric electronic design using a first session of a first electronic design automation (EDA) tool, update the cross-fabric simulation model by at least identifying second design data in a second design fabric using a second session of a second EDA tool, and determine analysis results for the multi-fabric electronic design using at least the cross-fabric simulation model. Analysis results may be determined using parasitic, electrical, or performance information.
    Type: Grant
    Filed: October 1, 2014
    Date of Patent: March 8, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventors: Arnold Ginetti, Vikas Kohli, Taranjit Singh Kukal
  • Patent number: 9268889
    Abstract: Various implementations of a method, system and computer program product receive a circuit model that can include an asynchronous crossing between a first set of one or more logic components in a first clock domain and a second set of one or more logic components in a second clock domain. A shadow network can be constructed that corresponds to the asynchronous crossing, where the shadow network includes at least one of an asynchronous transition detector, an asynchronous sample detector, and a metastability timer. The shadow network can include shadow network signals corresponding to signals of the asynchronous crossing.
    Type: Grant
    Filed: May 12, 2014
    Date of Patent: February 23, 2016
    Assignee: International Business Machines Corporation
    Inventor: Gavin B Meil
  • Patent number: 9223927
    Abstract: A modeling system includes a processor. The processor includes a capacitor model generator configured to generate a capacitor model based on a received circuit configuration. The capacitor model generator includes an extract module configured to extract parasitic capacitors from the received circuit configuration and a generate module configured to generate the capacitor model. The generate module generates the capacitor model by classifying the parasitic capacitors into a group of coupled capacitors and a group of grounded capacitors; classifying the coupled capacitors into first coupled capacitors and second coupled capacitors according to a corresponding influence on a performance of the circuit; setting the first coupled capacitors to a maintenance state; and converting at least one of the second coupled capacitors into a grounded capacitor, the at least one of the second coupled capacitors being a second coupled capacitor having a capacitance that is below a desired reference value.
    Type: Grant
    Filed: September 15, 2014
    Date of Patent: December 29, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Young-Jin Gu, Jong-Eun Koo, Kyu-Seok Lee
  • Patent number: 9223916
    Abstract: Various implementations of a method, system, and computer program product for executing timing analysis of an asynchronous clock domain crossing are disclosed. In one embodiment, a signal group and a corresponding timing specification are determined for one or more signals of an electronic design. For each of the signals, a clock associated with the signal is renamed based, at least in part, on the signal group associated with the signal. The asynchronous clock domain between a transmit domain and a receive domain is identified in the electronic design based, at least in part, on identifying a signal path associated with one or more renamed clocks that is asynchronous to a clock associated with the receive domain. For each of the one or more renamed clocks, timing analysis is executed across one or more signals associated with the renamed clock at the asynchronous clock domain crossing.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: December 29, 2015
    Assignee: International Business Machines Corporation
    Inventors: Jack DiLullo, Gavin Meil
  • Patent number: 9087168
    Abstract: According to a method herein, a portion of an electronic circuit is identified. The electronic circuit comprises logic circuitry. The portion of the electronic circuit is designed in at least two versions. Each of the at least two versions is evaluated using a plurality of operating conditions. The current operating conditions are determined. One version of the at least two versions is identified as a selected version based on the performance under the current operating conditions. The selected version has relatively optimal performance based on at least one of clock frequency, supply voltage, and power limit. The selected version is activated for use in the portion of the electronic circuit. The remaining versions of the at least two versions are deactivated.
    Type: Grant
    Filed: June 19, 2013
    Date of Patent: July 21, 2015
    Assignee: International Business Machines Corporation
    Inventors: John M. Cohn, David J. Hathaway, Sridhar H. Rangarajan, Diyanesh Babu C. Vidyapoornachary
  • Patent number: 9058451
    Abstract: A global optimization method to synthesize and balance the clock systems in a multimode, multi-corner, and multi-domain design environment is described. The method builds a graph representation for a clock network. The method determines an optimal clock network balancing solution for the clock network by applying linear programming to the graph. To apply linear programming to the graph, the method generates a set of constraints for the graph and determines a proper insertion delay for each edge of the graph by solving for a minimal skew based on the set of constraints. The method implements the optimal clock network balancing solution.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: June 16, 2015
    Assignee: Synopsys, Inc.
    Inventors: Tao Lin, Jieyi Long, Anand Rajaram, Michael Bezman
  • Patent number: 9058070
    Abstract: For predicting timing violations, a prediction module predicts a timing violation for a first instruction in a semiconductor device in response to use by the first instruction of a specified sensitized path. The prediction module further mitigates the predicted timing violation.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: June 16, 2015
    Assignee: Utah State University
    Inventors: Sanghamitra Roy, Koushik Chakraborty
  • Patent number: 9047426
    Abstract: Some embodiments of the present invention provide techniques and systems for using scenario reduction in a design flow. The system can use scenario reduction to determine two subsets of scenarios that correspond to two sets of design constraints. Next, the system can optimize the circuit design using one of the sets of design constraints over the associated subset of scenarios. Next, the system can optimize the circuit design using both sets of design constraints over the union of the two subsets of scenarios. In some embodiments, the system can iteratively optimize a circuit design by: performing multiple optimization iterations on the circuit design over progressively larger subsets of scenarios which are determined by performing scenario reduction with relaxation; and performing at least one optimization iteration on the circuit design over a subset of scenarios which is determined by performing scenario reduction without relaxation.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: June 2, 2015
    Assignee: RIVERBED TECHNOLOGY, INC.
    Inventor: Amir H. Mottaez
  • Patent number: 9043633
    Abstract: An integrated-circuit memory controller outputs to a memory device a first signal in a first state to enable operation of synchronous data transmission and reception circuits within the memory device. A transaction queue within the memory controller stores memory read and write requests that, to be serviced, require operation of the synchronous data transmission and reception circuits, respectively, within the memory device. Power control circuitry within the memory controller determines that the transaction queue has reached a predetermined state and, in response, outputs the first signal to the memory device in a second state to disable operation of the synchronous data transmission and reception circuits within the memory device.
    Type: Grant
    Filed: November 18, 2014
    Date of Patent: May 26, 2015
    Assignee: Rambus Inc.
    Inventors: Frederick A. Ware, Robert E. Palmer, John W. Poulton, Andrew M. Fuller
  • Patent number: 9043737
    Abstract: A technique for determining whether an integrated circuit design is susceptible to glitches includes identifying storage elements in an original register-transfer level (RTL) file of the integrated circuit design and identifying clock signals for each of the storage elements in the original RTL file. The technique also includes generating respective assertions for each of the identified clock signals and identifying potential glitchy logic in respective clock paths for each of the identified clock signals. Finally, the technique includes inserting, at the potential glitchy logic, glitches in each of the respective clock paths of the original RTL file to provide a modified RTL file and executing an RTL simulation using the modified RTL file and the respective assertions.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: May 26, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Jayanta Bahadra, Xiushan Feng, Xiao Sun
  • Patent number: 9043739
    Abstract: Methods and systems are described for placing arithmetic operators on a programmable integrated circuit device (e.g., a PLD). Placement of arithmetic operators of a data flow graph in one of multiple regions (e.g., a region of DSP circuitry blocks or a region of logic fabric circuitry) on the programmable integrated circuitry device may be determined (e.g., randomly). A score related to the performance of the graph (e.g., a score related to data flow graph routing delays or area consumed by the data flow graph) may be determined and this process may be repeated after one of the arithmetic operators of the data flow graph is moved. The placement of arithmetic operators that corresponds to the best value for the score related to the performance of the data flow graph may be stored. Accordingly, more arithmetic operators may be included on a programmable integrated device than in conventional devices.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: May 26, 2015
    Assignee: Altera Corporation
    Inventor: Steve Casselman
  • Patent number: 9038009
    Abstract: Some example embodiments include a computer-implemented method for designing an integrated circuit. The computer-implemented method includes receiving a hierarchical network design for the integrated circuit, wherein the hierarchical design comprises a number of components that are coupled together. The computer-implemented method includes detecting that a component of the number of components has at least one of failed timing and incomplete timing based on a problem that comprises at least one of a missing assertion, one or more missing latches, a source driver having an input source slew that is greater than a source slew limit threshold, and a sink having an input sink slew that is greater than a sink slew limit threshold. The computer-implemented method includes replacing the component with a different component that is independent of the problem and testing others components of the number of components based on the different component.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: May 19, 2015
    Assignee: International Business Machines Corporation
    Inventors: Charles Jay Alpert, Robert M. Averill, III, Zhuo Li, Jose L. P. Neves, Stephen T. Quay
  • Patent number: 9038007
    Abstract: A method for accurately determining the shape of currents in a current spectrum for a circuit design is provided. The method includes determining timing and power consumption characteristics. In one embodiment, timing characteristics are provided through a electronic design automation tool. The timing characteristics yield a current pulse time width. In another embodiment, power consumption characteristics are provided by an EDA tool. The power consumption characteristics yield a current pulse amplitude. The shape of the current pulse is obtained by incrementally processing a power analyzer tool over relatively small time increments over one or more clock cycles while capturing the switching nodes of a simulation of the circuit design for each time increment. In one embodiment, the time increments are one nanosecond or less.
    Type: Grant
    Filed: February 3, 2012
    Date of Patent: May 19, 2015
    Assignee: Altera Corporation
    Inventors: Peter Boyle, Iliya G. Zamek
  • Patent number: 9038006
    Abstract: A mechanism for generating gate-level activity data for use in clock gating efficiency analysis of an integrated circuit (IC) design is provided. Generating the gate-level activity data includes generating a signal behaviour description for inter-register signals, generating a gate-level netlist for the IC design, generating gate-level stimuli based at least partly on the generated signal behaviour description, and performing gate-level simulation using the generated gate-level stimuli to generate gate-level activity data for the IC design. In one embodiment, generating the signal behaviour description includes performing Register Transfer Level (RTL) simulation of the IC design, and generating the gate-level netlist includes performing RTL synthesis. The RTL simulation and RTL synthesis are performed on RTL data for the IC design.
    Type: Grant
    Filed: April 30, 2013
    Date of Patent: May 19, 2015
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Lior Moheban, Asher Berkovitz, Guy Shmueli
  • Patent number: 9032356
    Abstract: An integrated circuit having a programmable clock spreader configured to generate a plurality of controllably skewed clock signals, each applied to a corresponding region within the integrated circuit with circuitry configured to be triggered off the applied clock signal. The programmable clock spreader is designed to enable customization of the current-demand characteristics exhibited by the integrated circuit, e.g., based on the circuit's spectral impedance profile, to cause transient voltage droops in the power-supply network of the integrated circuit to be sufficiently small to ensure proper and reliable operation of the integrated circuit.
    Type: Grant
    Filed: May 24, 2013
    Date of Patent: May 12, 2015
    Assignee: LSI Corporation
    Inventors: James G. Monthie, Vineet Sreekumar, Ranjit Yashwante
  • Patent number: 9032347
    Abstract: A system, method, and computer program product for automatically generating equivalent assertions in different forms for different verification tools, which may be analog or digital. A user submits a set of logic assertions that, if unclocked, are converted to clocked assertions by generating and skewing clocks to ensure simulator uniformity. A stimulus is generated, perhaps at random, or input. A test bench is either input or synthesized. For each verification tool, the test bench is simulated and simulation results are captured. An assertion status difference engine evaluates result differences between the verification tools, and identifies and outputs differences indicating a significant inconsistency. Errors in verification tool implementation and user assertion coding can be detected. The simulators used may include SPICE and Verilog, or any other simulators that differ in type, simulation algorithm, input format, or vendor implementation.
    Type: Grant
    Filed: May 3, 2013
    Date of Patent: May 12, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Donald J. O'Riordan
  • Patent number: 9032349
    Abstract: One implementation of the disclosure provides a crosstalk analysis method executed by a computer. The method includes steps of: executing a layout program; executing a crosstalk analysis program; acquiring, by the crosstalk analysis program, a plurality of parameters from a layout result generated by the layout program; estimating a crosstalk value according to the parameters; determining whether the crosstalk value is larger than a predetermined value; providing a layout suggestion table when the crosstalk value is larger than the predetermined value.
    Type: Grant
    Filed: May 15, 2014
    Date of Patent: May 12, 2015
    Assignee: Wistron Corp.
    Inventors: Wen-Hsiang Lee, Wen-Jui Kuo, Feng-Ling Lin, Hsiao Ming Wang, Lung-Ming Chan, Li-Ting Hung
  • Publication number: 20150128100
    Abstract: As described herein, a tool records a log (or trace) of all sources of non-determinism in the system. In most of the cases, it's enough to log all transitions and the exact timestamps at all the entry and exit points of the system. By using this information it is possible to recreate a cycle accurate execution of the hardware system in simulation. Unlike CHIPSCOPE and SIGNALTAP which let you monitor a small number of signals in the design, the tool provides visibility into the whole system.
    Type: Application
    Filed: August 29, 2014
    Publication date: May 7, 2015
    Inventors: Daniel Foisy, Sunil K. Shukla
  • Patent number: 9026967
    Abstract: A method for designing a system to be implemented on a target device includes generating a register transfer language (RTL) representation of the system from a description of the system without pipelined delays. The RTL representation of the system includes pipelined delays to facilitate timing of the system as implemented on a target device identified by a designer.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: May 5, 2015
    Assignee: Altera Corporation
    Inventor: Steven Perry
  • Patent number: 9026965
    Abstract: A logic design is analyzed using static timing analysis and timing edge tracking for various nets within the logic design. Crosstalk analysis is performed on the logic design to evaluate timing impacts. To reduce pessimism of crosstalk analysis for a victim net, arrival edges are tracked for the victim net. The switching times of the aggressor net are compared to the edges of the victim net during crosstalk analysis.
    Type: Grant
    Filed: March 12, 2013
    Date of Patent: May 5, 2015
    Assignee: Synopsys, Inc.
    Inventors: Hushrav Darabshah Mogal, Rupesh Nayak, Peivand Tehrani
  • Patent number: 9026979
    Abstract: An analysis support apparatus includes a processor that is configured to acquire circuit data that indicates plural elements within a circuit and a node to which at least two elements are connected among the elements, and determine, based on the acquired circuit data and by referring to a memory unit that correlates and stores for each of the elements, the type of the element and information that indicates whether the phase of a signal is reversed when the signal passes through the element, whether the phase of the signal is reversed when the signal that passed through a given node among a plurality of nodes within the circuit returns to the given node; and an output unit that outputs information that indicates the given node when the processor determines that the phase of the signal is not reversed.
    Type: Grant
    Filed: February 11, 2014
    Date of Patent: May 5, 2015
    Assignee: Fujitsu Limited
    Inventors: Hiroyuki Sato, Satoshi Matsubara
  • Patent number: 9026964
    Abstract: A method for modeling a circuit comprising storing a plurality of design variable ranges for a circuit component in a non-transient electronic data memory. Performing transistor-level simulations at a plurality of sample points for the circuit component to generate a plurality of design variable samples for the circuit component. Storing a neural network architecture in the non-transient electronic data memory that models the plurality of design variable samples for the circuit component. Storing a performance metric metamodel and a circuit parameter metamodel generated using Verilog-AMS.
    Type: Grant
    Filed: March 12, 2014
    Date of Patent: May 5, 2015
    Assignee: University of North Texas
    Inventors: Saraju P. Mohanty, Elias Kougianos, Geng Zheng