Noise (e.g., Crosstalk, Electromigration, Etc.) Patents (Class 716/115)
-
Patent number: 8910101Abstract: A method for determining an effective capacitance to facilitate a timing analysis using a processor generally comprises generating a model that is representative of a coupling between at least two TSVs. An impedance profile between the two TSVs as a function of at least one parameter is determined by using the model, wherein the impedance profile includes a plurality of impedance values corresponding to respective values of the parameter. An effective capacitance value corresponding to each respective impedance value is determined. An RC extraction is conducted of a design layout of a TSV circuit based on each determined effective capacitance value to generate an RC network.Type: GrantFiled: October 11, 2013Date of Patent: December 9, 2014Assignee: Taiwan Semiconductor Manfacturing Co., Ltd.Inventors: Chao-Yang Yeh, Cheng-Hung Yeh, Chi-Ting Huang
-
Patent number: 8910106Abstract: A capacitor arrangement assisting method wherein data entered by a user, such as the width w of a power supply wiring, the thickness h of a dielectric between the power supply wiring and a ground plane, the ESLcap of a capacitor, and a target frequency fT and a target impedance ZT of an IC, are received, the maximum allowable wiring length lmax of the power supply wiring is calculated on the basis of the received width w of the power supply wiring, the thickness h of the dielectric, the ESLcap of the capacitor, and the target impedance ZT of the IC at the target frequency fT, and the calculated maximum allowable wiring length lmax is displayed.Type: GrantFiled: December 3, 2012Date of Patent: December 9, 2014Assignee: Murata Manufacturing Co., Ltd.Inventors: Hidetoshi Yamamoto, Yusuke Isozumi, Kota Saito
-
Patent number: 8910108Abstract: Embodiments of methods, apparatus, and systems for extracting impedance for a circuit design are disclosed herein. Some of the disclosed embodiments are computationally efficient and can accurately compute the frequency-dependent impedance of VLSI interconnects and/or intentional inductors in the presence of multi-layer conductive substrates. In certain embodiments, the resulting accuracy and CPU time reduction are a result of a Green's function approach with the correct quasi-static limit, a modified discrete complex image approximation to the Green's function, and a continuous dipole expansion to evaluate the magnetic vector potential at the distances relevant to VLSI interconnects and intentional inductors.Type: GrantFiled: April 7, 2014Date of Patent: December 9, 2014Assignee: Mentor Graphics CorporationInventor: Roberto Suaya
-
Patent number: 8904331Abstract: A method for modeling jitter includes generating a first delay-impacting parameter function for a first signal and a second delay-impacting parameter function for a second signal. A first delay per element function is generated from the first delay-impacting parameter function and a second delay per element function from the second delay-impacting parameter function. A difference in path delay from the first delay per element function and the second delay per element function is identified.Type: GrantFiled: June 29, 2012Date of Patent: December 2, 2014Assignee: Altera CorporationInventor: Ryan Fung
-
Publication number: 20140351779Abstract: A method is provided for designing an IC chip. The method includes receiving data from a pre-layout design process for the IC chip, routing a plurality of interconnecting wires to connect various devices of the IC chip, and extracting various circuit parameters. The method also includes simulating the IC chip using the extracted various circuit parameters to detect logic or timing error in the IC chip. The extracting the various circuit parameters includes establishing a statistical interconnect technology profile (ITP) file containing at least interconnect parasitic parameters based on correlations of interconnect layer geometric parameter variations.Type: ApplicationFiled: November 20, 2012Publication date: November 27, 2014Inventors: Zheng Ren, Shaojian Hu, Wei Zhou, Shoumian Chen, Yuhang Zhao
-
Patent number: 8890564Abstract: A method of designing an integrated circuit, integrated circuits using different drive strengths and a signal integrity monitor are provide herein. In one embodiment, the signal integrity monitor includes: (1) a signal interface configured to receive a signal from a parallel data bus for transmission over a plurality of signal paths and (2) a victim signal identifier configured to dynamically determine a potential victim signal path of the plurality of signal paths.Type: GrantFiled: July 26, 2012Date of Patent: November 18, 2014Assignee: LSI CorporationInventors: Jay D. Harker, Marek J. Marasch, Jeff S. Brown, Mark F. Turner, Carol A. Anderson, Jay T. Daugherty
-
Patent number: 8893068Abstract: Techniques generating a simulation model for a circuit design are disclosed. One of the techniques includes extracting a plurality design properties associated with the circuit design. The design properties are extracted from a netlist of the circuit design and may include an input/output (I/O) buffer setting extracted from a first netlist of the circuit design or an environmental condition associated with the circuit design. A second netlist for the circuit design is generated based on the design properties and is simulated based on the design properties. A simulation model for the circuit design is generated. In an exemplary embodiment, the simulation model reflects the I/O buffer setting or the environmental condition associated with the circuit design.Type: GrantFiled: May 1, 2012Date of Patent: November 18, 2014Assignee: Altera CorporationInventors: Tong Choon Kho, Joshua David Fender, Gurvinder Tiwana
-
Patent number: 8893064Abstract: Disclosed are a system and a method for determining merged resistance values of same-type terminals of multiple electrically connected multi-terminal semiconductor devices (e.g., field effect transistors) in a complex semiconductor structure, wherein all first terminals are connected to a first node, all second terminals are connected to a second node, and all third terminals are connected to a third node. Modified resistor networks are generated from a full resistor network including, but not limited to, a first modified resistor network with shorted second terminals and a second node; a second modified resistor network with shorted first terminals and a first node; and a third modified resistor network with first terminals and first node shorted and with the second terminals and second node shorted. Simulations are performed using the modified resistor networks and, based on the results, merged resistance values for the first, second, and third terminals are determined.Type: GrantFiled: June 24, 2013Date of Patent: November 18, 2014Assignee: International Business Machines CorporationInventor: Ning Lu
-
Publication number: 20140317585Abstract: An embodiment of the disclosure provides a crosstalk analysis method executed by a computer including: executing a layout program for a layout circuit; executing a crosstalk analysis program; acquiring, by the crosstalk analysis program, a plurality of parameters from a layout result generated by the layout program; estimating a crosstalk value according to the parameters; determining whether the crosstalk value is larger than a predetermined value; providing an interface for showing information of the layout result and adjusting a plurality of lines of the layout circuit.Type: ApplicationFiled: July 3, 2014Publication date: October 23, 2014Inventors: Wen-Hsiang LEE, Wen-Jui KUO, Feng-Ling LIN, Hsiao Ming WANG, Lung-Ming CHAN, Li-Ting HUNG
-
Patent number: 8869085Abstract: Structure and methods for a semiconductor transistor design. The transistor structure comprises a field effect transistor having a multi-finger gate and three or more diffusion regions. Each diffusion region is identified as either a source region or a drain region, and each diffusion region is further identified as either an inner diffusion region or an outer diffusion region. Electrical contacts are established in the inner diffusion regions and the outer diffusion regions. There are approximately twice as many contacts in an inner source region as in the outer source region. There are approximately twice as many contacts in an inner drain region as in the outer drain region. The number and locations of contacts in each diffusion region are adjusted to reduce the difference among source node voltages of all fingers and the difference among drain node voltages of all fingers.Type: GrantFiled: October 11, 2012Date of Patent: October 21, 2014Assignee: International Business Machines CorporationInventor: Ning Lu
-
Patent number: 8862453Abstract: Methods for generating waveforms with realistic transitions, controllable timing jitter, and controllable amplitude noise in a computer-based simulation environment are disclosed. A first method includes obtaining signal information for one or more parallel data signals. In one embodiment, signal information for the one or more parallel data signals is mapped from an HDL format to a new time scale, and during this operation, timing jitter is added independently to the parallel data signals. These jittery parallel data signals may then be returned to the original HDL format, or another format, for simulation. In another embodiment, rather than mapping to a single time vector, information from each signal is modified to have a time scale commensurate with noise and jitter to be added. Timing jitter is superimposed onto each transition, rise and fall times are incorporated, and missing voltage and timing information for each data signal is interpolated into vectors representing the signals.Type: GrantFiled: December 18, 2007Date of Patent: October 14, 2014Assignee: Micron Technology, Inc.Inventor: Timothy M. Hollis
-
Patent number: 8863055Abstract: A method and apparatus to provide a capacitance to a design an integrated circuit is described. In one embodiment, the method receive a layout of the integrated circuit and applying canonical hierarchical models to the layout, wherein the canonical hierarchical models include a first type canonical model to capture a first capacitance of a device having a plurality of first conductors and a second type canonical model to capture a second capacitance between at least a portion of the device and one or more second conductors of the integrated circuit. The method further includes determining a capacitance for the layout based on the applying.Type: GrantFiled: August 21, 2013Date of Patent: October 14, 2014Assignee: Synopsys, Inc.Inventors: Arthur Nieuwoudt, Jiyoun Kim, Mathew Koshy, Baribrata Biswas
-
Patent number: 8856710Abstract: A method comprises analyzing front side conductive patterns and back side conductive patterns on a semiconductor interposer using a machine implemented RC extraction tool, and outputting data representing a plurality of respective RC nodes from the RC extraction tool to a tangible persistent machine readable storage medium. A substrate mesh model of the semiconductor interposer is generated, having a plurality of substrate mesh nodes. Each substrate mesh node is connected to adjacent ones of the plurality of substrate mesh nodes by respective substrate impedance elements. A set of inputs to a timing analysis tool is formed. The plurality of RC nodes are connected to ones of the plurality of substrate mesh nodes of the substrate mesh model. The set of inputs is stored in a tangible machine readable storage medium.Type: GrantFiled: June 29, 2011Date of Patent: October 7, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chao-Yang Yeh, Ze-Ming Wu, Meng-Lin Chung, Chih-Chia Chen, Li-Fu Ding, Sa-Lly Liu
-
Patent number: 8850375Abstract: An integrated circuit design method, system and simulator, wherein the integrated circuit design method includes: determining a region in which power supply noise shall be analyzed; determining current model parameters of the region; determining model parameters of a power supply network model; inputting into a simulator a net list; judging whether or not the region satisfies noise requirements of a chip power supply; and if the region satisfies noise requirements of the chip power supply, determining that the initial area is a minimum area that satisfies the noise requirements of the chip power supply in case the initial number of decoupling capacitors are used in the region.Type: GrantFiled: April 27, 2011Date of Patent: September 30, 2014Assignee: International Business Machines CorporationInventors: Xuan Zou, Hai Tao Han, Wei Liu, Ze Gui Pang, Wen Yin
-
Patent number: 8850376Abstract: A computer-readable recording medium having stored therein a program for causing a computer to execute a process for information processing comprising: performing, for a plurality of noise countermeasure design checks for a plurality of nets provided on a substrate, an initial noise countermeasure design check on each of the plurality of nets in an execution order determined, when one of the checks is passed, on the basis of other noise countermeasure design checks that may be skipped; and performing, if it is determined on the basis of at least a check result of a noise countermeasure design check which has been performed immediately before a corresponding check that there is a next noise countermeasure design check that may not be skipped in the execution order, the next noise countermeasure design check for each of the plurality of nets.Type: GrantFiled: March 25, 2013Date of Patent: September 30, 2014Assignee: Fujitsu LimitedInventors: Tomoyuki Nakao, Yoshiaki Hiratsuka, Keisuke Nakamura, Yoshihiro Sawada, Kenji Nagase
-
Patent number: 8843870Abstract: A method of reducing current leakage in unused circuits performed during semiconductor fabrication and a semiconductor device or integrated circuit thereby formed. The method involves modifying a characteristic of at least one idle circuit that is unused in a product variant, to inhibit the circuit and reduce current leakage therefrom upon powering as well as during operation. The method can substantially increase the Vt (threshold voltage) of all transistors of a given type, such as all N-type transistors or all P-type transistors. The method is also suitable for controlling other transistor parameters, such as transistor channel length, as well as other active elements, such as N-type resistors or P-type resistors, in unused circuits which affect leakage current as well as for other unused circuits, such as a high Vt circuit, a standard Vt circuit, a low Vt circuit, and an SRAM cell Vt circuit.Type: GrantFiled: June 28, 2012Date of Patent: September 23, 2014Assignee: PMC-Sierra US, Inc.Inventors: Bruce Scatchard, Chunfang Xie, Scott Barrick, Kenneth D. Wagner
-
Publication number: 20140282337Abstract: A semiconductor device design method performed by at least one processor comprises extracting, using a resistance and capacitance (RC) extraction tool, at least one first parasitic capacitance among electrical components inside one or more regions of a plurality of regions in a layout of a semiconductor device. The method also comprises extracting, using the RC extraction tool, at least one second parasitic capacitance among electrical components outside the regions of the plurality of regions. The method further comprises combining, using a netlist generator tool, the extracted first and second parasitic capacitances into a netlist representing the layout. The RC extraction tool is configured to extract the first parasitic capacitances inside at least one region of the plurality of regions using a methodology more accurate than that for extracting the second parasitic capacitances.Type: ApplicationFiled: May 30, 2014Publication date: September 18, 2014Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Ping-Hung YUH, Cheng-I HUANG, Chung-Hsing WANG
-
Publication number: 20140258958Abstract: A method is provided to convert commercial microprocessors to radiation-hardened processors and, more particularly, a method is provided to modify a commercial microprocessor for radiation hardened applications with minimal changes to the technology, design, device, and process base so as to facilitate a rapid transition for such radiation hardened applications. The method is implemented in a computing infrastructure and includes evaluating a probability that one or more components of an existing commercial design will be affected by a single event upset (SEU). The method further includes replacing the one or more components with a component immune to the SEU to create a final device.Type: ApplicationFiled: January 10, 2014Publication date: September 11, 2014Applicant: International Business Machines CorporationInventors: John A. FIFIELD, Mark C. HAKEY, Jason D. HIBBELER, James S. NAKOS, Tak H. NING, Kenneth P. RODBELL, Ronald D. ROSE, Henry H.K. TANG, Larry WISSEL
-
Publication number: 20140258959Abstract: A present design support method includes: arranging capacitance cells in an entire area of a cell arrangement area of a semiconductor integrated circuit , before arranging logic cells; upon detecting that a position at which a certain logic cell will be arranged is designated, calculating a total sum of capacitance for a first capacitance check area that includes the position among plural capacitance check areas that are included in the cell arrangement area, while assuming that a capacitance cell at the position is removed; calculating a total sum of necessary capacitance for the first capacitance check area, while assuming that the certain logic cell is arranged at the position and outputting information that represents a relationship between the total sum of capacitance and the total sum of necessary capacitance for the first capacitance check area.Type: ApplicationFiled: May 22, 2014Publication date: September 11, 2014Applicant: FUJITSU LIMITEDInventor: Hideaki KATAGIRI
-
Patent number: 8832630Abstract: First and second pin groups are each formed from a plurality of pins associated with specific nets. Pins in the first pin group are to be wired to pins in the second pin group according to their associated nets. A candidate selection unit selects a set of pair candidates each specifying a first pair of pins in the first pin group and a second pair of pins in the second pin group. The first and second pairs of pins are associated with the same pair of nets, and their respective distances are within a specified range. A pair determination unit determines which pins in the first and second pin groups are to be wired in pairs, based on the pair candidates selected by the candidate selection unit.Type: GrantFiled: July 23, 2012Date of Patent: September 9, 2014Assignee: Fujitsu LimitedInventors: Ikuo Ohtsuka, Toshiyasu Sakata
-
Publication number: 20140250415Abstract: One implementation of the disclosure provides a crosstalk analysis method executed by a computer. The method includes steps of: executing a layout program; executing a crosstalk analysis program; acquiring, by the crosstalk analysis program, a plurality of parameters from a layout result generated by the layout program; estimating a crosstalk value according to the parameters; determining whether the crosstalk value is larger than a predetermined value; providing a layout suggestion table when the crosstalk value is larger than the predetermined value.Type: ApplicationFiled: May 15, 2014Publication date: September 4, 2014Applicant: Wistron Corp.Inventors: Wen-Hsiang LEE, Wen-Jui KUO, Feng-Ling LIN, Hsiao Ming WANG, Lung-Ming CHAN, Li-Ting HUNG
-
Patent number: 8826204Abstract: Various methods for analyzing mutual inductance in an integrated circuit layout are disclosed. In one exemplary embodiment, for instance, a circuit description indicative of the layout of signal wires and ground wires in the circuit is received. The signal wires and the ground wires are grouped into at least a first bundle and a second bundle, wherein the first bundle and the second bundle each comprise a respective signal-wire segment and one or more corresponding ground-wire segments. A representative dipole moment is calculated for the first bundle. Using the representative dipole moment, the mutual inductance between the first bundle and the second bundle is calculated. Computer-readable media storing computer-executable instructions for causing a computer to perform any of the disclosed methods or storing design databases created or modified using any of the disclosed techniques are also disclosed.Type: GrantFiled: September 30, 2013Date of Patent: September 2, 2014Assignee: Mentor Graphics CorporationInventors: Roberto Suaya, Rafael Escovar, Salvador Ortiz
-
Patent number: 8826207Abstract: A method and system for extracting the parasitic capacitance in an IC and generating a technology file for at least one or more IC design tools are provided. Parasitic extraction using the preferred method can significantly reduce field solver computational intensity and save technology file preparation cycle time. The network-based technology file generation system enables circuit designers to obtain a desired technology file in a timely manner. The common feature of the various embodiments includes identifying common conductive feature patterns for a given technology generation. Capacitance models created from the identified patterns are used to assemble the required technology files for IC design projects using different technology node and different process flows.Type: GrantFiled: December 28, 2007Date of Patent: September 2, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Cliff Hou, Gwan Sin Chang, Cheng-Hung Yeh, Chih-Tsung Yao
-
Patent number: 8826220Abstract: The present disclosure illustrates a circuit layout method for printed circuit board which is adapted for an electronic device. The circuit layout method includes the following steps. A parameters configuration interface is provided for receiving corresponding stack-up parameters and a plurality of layout parameters. A radio frequency layer, a first keep out layer, and a reference layer are determined based on the stack-up parameters. The first keep-out layer is placed between the radio frequency layer having a first signal trace disposed thereon and the reference layer. A first keep-out region on the first keep-out layer is formed in corresponding to the first signal trace. Circuit layouts disposed inside the first keep-out region are removed. Consequently, the corresponding keep-out region may be automatically generated in accordance to the signal requirements of the signal trace while designing the circuit layout thereby increase circuit layout quality and efficiency thereof.Type: GrantFiled: April 6, 2013Date of Patent: September 2, 2014Assignee: Wistron Corp.Inventors: Wei-Fan Yu, I-Ping Teng
-
Patent number: 8826210Abstract: A method implemented in a computer infrastructure having computer executable code having programming instructions tangibly embodied on a computer readable storage medium. The programming instructions are operable to receive a current waveform of a communication between a plurality of participants. Additionally, the programming instructions are operable to create a voiceprint from the current waveform if the current waveform is of a human voice. Furthermore, the programming instructions are operable to determine one of whether a match exists between the voiceprint and one library waveform of one or more library waveforms, whether a correlation exists between the voiceprint and a number of library waveforms of the one or more library waveforms and whether the voiceprint is unique.Type: GrantFiled: October 19, 2012Date of Patent: September 2, 2014Assignee: International Business Machines CorporationInventor: Nathan J. Harrington
-
Patent number: 8806414Abstract: A system comprises an electronic design automation (EDA) tool, for generating a schematic design of an integrated circuit (IC), generating a layout from the schematic design, editing the layout, and verifying the schematic design and layout. At least one non-transitory, computer readable storage medium, is provided for storing data representing the schematic design and the layout, the layout having a network of routing paths connecting at least two active layer devices of the IC design. An RC tool is provided for computing estimated parasitic capacitances of the routing paths of the network before verifying the schematic design and layout, and for inserting a capacitor corresponding to the estimated parasitic capacitance into the data representing the schematic design of the IC. A first device level simulation tool for simulating performance of the network based on the at least two active layer devices and the estimated parasitic capacitances.Type: GrantFiled: May 31, 2012Date of Patent: August 12, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Mu-Jen Huang, Yu-Sian Jiang, Yi-Ting Lin, Hsien-Yu Tseng, Heng Kai Liu, Chien-Wen Chen, Chauchin Su
-
Publication number: 20140223401Abstract: Embodiments of methods, apparatus, and systems for extracting impedance for a circuit design are disclosed herein. Some of the disclosed embodiments are computationally efficient and can accurately compute the frequency-dependent impedance of VLSI interconnects and/or intentional inductors in the presence of multi-layer conductive substrates. In certain embodiments, the resulting accuracy and CPU time reduction are a result of a Green's function approach with the correct quasi-static limit, a modified discrete complex image approximation to the Green's function, and a continuous dipole expansion to evaluate the magnetic vector potential at the distances relevant to VLSI interconnects and intentional inductors.Type: ApplicationFiled: April 7, 2014Publication date: August 7, 2014Applicant: MENTOR GRAPHICS CORPORATIONInventor: Roberto Suaya
-
Patent number: 8799839Abstract: An extraction tool for, and method of, determining a stage delay associated with an integrated circuit (IC) interconnect. In one embodiment, the extraction tool includes: (1) a driver strength estimator configured to extract dimensions of a driver associated with the interconnect and estimate a driver strength therefrom, (2) a driver delay estimator coupled to the driver strength estimator and configured to estimate a driver delay based on the driver strength, (3) an interconnect delay estimator configured to estimate an interconnect delay based on extracted C and RC parameters associated with the interconnect and (4) a stage delay estimator coupled to the driver delay estimator and the interconnect delay estimator and configured to estimate the stage delay based on the driver delay and the interconnect delay.Type: GrantFiled: July 24, 2008Date of Patent: August 5, 2014Assignee: LSI CorporationInventors: Alexander Y. Tetelbaum, Richard A. Laubhan
-
Patent number: 8793632Abstract: In one or more embodiments, one or more methods, processes, and/or systems described can determine stress failures in interconnect segments of integrated circuit designs and correct those failure via modifying the interconnect segments of the integrated circuit designs with one or more additions to the interconnect segments of the integrated circuit designs. Potentials can be received from a simulation, and one or more failures of an interconnect segment can be determined via the potentials from the simulation. For example, a failure can be determined via a comparison with a potential from the simulation and a critical potential. An interconnect segment can be modified with a stub such that a comparison with a potential from the simulation and a critical potential to provide a non-failing, modified interconnect segment.Type: GrantFiled: August 12, 2013Date of Patent: July 29, 2014Assignee: Freescale Semiconductor, Inc.Inventors: Ertugrul Demircan, Mehul D. Shroff
-
Patent number: 8788255Abstract: A delay analysis device composed of a storage device and a data processing device analyzes a chip fabricating a semiconductor integrated circuit. Delay calculation is performed via an RC simulation with reference to a layout-implemented macro net list, macro layout data, and a cell timing library, thus producing macro delay information. An initial stage of a macro is annotated by the global clock path delay information including the edge information so as to produce a global clock delay-annotated macro net list, which is then converted into a macro delay-annotated net list. Based on the macro delay-annotated net list and timing constraint, the delay analysis device calculates delay times of signal paths and clock paths as well as clock skews with a high precision. It checks whether or not the relationship between the delay times of signal paths and clock paths meets the timing constraint, thus producing delay analysis information.Type: GrantFiled: August 19, 2010Date of Patent: July 22, 2014Assignee: NEC CorporationInventor: Koji Kanno
-
Patent number: 8776006Abstract: Aspects of the invention provide for a method of delay defect testing in integrated circuits. In one embodiment, the method includes: generating at least one test pattern based on a transition fault model type; evaluating a dynamic voltage drop for the at least one pattern during a capture cycle and generating a voltage drop value for the at least one test pattern; performing a static timing analysis, using the voltage drop value for the at least one test pattern; evaluating a plurality of paths in the at least one pattern; and masking each path that fails to meet a timing requirement.Type: GrantFiled: February 27, 2013Date of Patent: July 8, 2014Assignee: International Business Machines CorporationInventors: Raghu G. Gopalakrishnasetty, Thamaraiselvan Subramani, Balaji Upputuri
-
Patent number: 8775995Abstract: A method and/or an apparatus of core timing prediction is disclosed. In one embodiment, a method may include generating a core timing model of a core logic that is accurately transferable to any chip-level integration process. The method may reduce performance degradation and/or performance variation of the core logic caused by a number of interactions between core logic components and chip-level components in the chip-level integration process. In addition, the core timing model of the core logic may be generated by filling un-wired tracks with metal in any of an outermost layer of the core logic after a core logic routing and constructing a layer at least an area of and adjacent to any of the outermost layer of the core logic with grounded metal that is orthogonal to those of the metal used in the outermost layer of the core logic.Type: GrantFiled: October 22, 2012Date of Patent: July 8, 2014Assignee: LSI CorporationInventors: Ruben Salvador Molina, Alexander Tetelbaum
-
Publication number: 20140189628Abstract: A system is provided for use with circuit layout design data having a set of differential pairs and a set of bond wire pairs. A layout portion can receive the circuit layout design data. A crosstalk calculating portion can determine a first amount of crosstalk in a circuit corresponding to the circuit layout design data. A modifier can modify the circuit layout design data into modified circuit layout design data such that one of the set of differential pairs and the set of bond wire pairs includes a crossover. The crosstalk calculating portion can further determine a second amount of crosstalk in a circuit corresponding to the modified circuit layout design data. An optimizer can compare the first amount of crosstalk with the second amount of crosstalk to generate optimized circuit layout design data. A layout designer can output the optimized circuit layout design data.Type: ApplicationFiled: December 27, 2012Publication date: July 3, 2014Applicant: TEXAS INSTRUMENTS INCORPORATEDInventors: Gregory Eric Howard, Andy Quang Tran, Yanli Fan, Kartheinz Muth
-
Patent number: 8769470Abstract: Disclosed are a method and system for improving timing closure in chip design. The method comprises: identifying a critical timing path in a chip design pattern, wherein a timing window of the critical timing path is smaller than a predetermined timing window; determining a variation of each segment of the critical timing path, wherein the variation indicates uncertainty of delay of a device and/or a wire caused by one or more factors; and changing at least one segment of the critical timing path based on the variation of each segment of the critical timing path to enlarge the timing window of the critical timing path. The method and system may enlarge a timing window of a critical timing path by reducing the variation thereof, thereby achieving timing closure in the chip design pattern.Type: GrantFiled: November 15, 2011Date of Patent: July 1, 2014Assignee: International Business Machines CorporationInventors: Hongwei (Nick) Dai, Chen Du, Xian E He, Jian Niu
-
Patent number: 8769460Abstract: A method of operating a data processing system to extract instances of devices contained in a description of an integrated circuit and data processing systems implementing that method are disclosed. A device instance database includes a plurality of instances of devices contained in the integrated circuit, each device instance identifying corresponding structures in the integrated circuit that are part of the device instance. A device type definition library is used to search for instances of devices and includes a plurality of device type definitions, one of the type definitions defining a compound device includes two devices included in the device instance database. The data processing system searches the device instance database for compound devices defined in device type definition library, and updates the device instance database when a new device is found.Type: GrantFiled: June 4, 2013Date of Patent: July 1, 2014Assignee: Agilent Technologies, Inc.Inventors: Anne Marie Hawkins, Ngangom Punmark Singh, Praveen V-S
-
Patent number: 8769462Abstract: Parasitic extraction is a useful tool for analyzing and improving timing and other characteristics of semiconductor chips. Parasitic resistance and capacitance values are determined and stored in arrays. The parasitic values are extracted for multiple corners with a single analysis of the layout. Multi-corner analysis is performed using the parasitic values thereby optimizing the timing across various temperature and process operating points.Type: GrantFiled: October 5, 2012Date of Patent: July 1, 2014Assignee: Synopsys, Inc.Inventors: Matthias Horlacher, Koohak Kim, William Patrick Pinello
-
Patent number: 8766403Abstract: Semiconductor devices having capacitor arrays and methods of forming the same. A semiconductor device is formed including a capacitor array. The capacitor array includes a plurality of operational capacitors formed along a diagonal of the capacitor array. The capacitor array also includes a plurality of dummy capacitors formed substantially symmetrically about the plurality of operational capacitors in the capacitor array. A first operational capacitor is formed at a first edge of the capacitor array. Each one of the plurality of operational capacitors is electrically coupled to a non-adjacent other one of the plurality of operational capacitors.Type: GrantFiled: February 6, 2012Date of Patent: July 1, 2014Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Chi-Feng Huang, Chia-Chung Chen
-
Patent number: 8762908Abstract: In one embodiment of the invention, a method of analysis of a circuit design with respect to within-die process variation is disclosed to generate a design-specific on chip variation (DS-OCV) de-rating factor. The method includes executing a static timing analysis (STA) in an on-chip variation mode using a process corner library. Collecting timing information of the top N critical timing paths. Executing a statistical static timing analysis (SSTA) on the N critical timing paths using timing models characterized for SSTA with sensitivities of delays to process variables. Compare the two timing results and deriving DS-OCV de-rating factors for the clock/data paths to be used in a STA OCV timing analysis to correctly account for the effects of process variations. A user may select to specify DS-OCV de-rating factors for paths or groups of paths and achieve an accurate timing analysis report in a reduced amount of run-time.Type: GrantFiled: June 27, 2010Date of Patent: June 24, 2014Assignee: Cadence Design Systems, Inc.Inventors: Hongliang Chang, Vassilios Gerousis, Sireesha Molakalapalli, Sachin Shrivastava
-
Publication number: 20140173543Abstract: Systems and methods are provided for extracting parasitics in a design of an integrated circuit with multi-patterning requirements. The method includes determining resistance solutions and capacitance solutions. The method further includes performing parasitic extraction of the resistance solutions and the capacitance solutions to generate mean values for the resistance solutions and the capacitance solutions. The method further includes capturing a multi-patterning source of variation for each of the resistance solutions and the capacitance solutions during the parasitic extraction. The method further includes determining a sensitivity for each captured source of variation to a respective vector of parameters. The method further includes determining statistical parasitics by multiplying each of the resistance solutions and the capacitance solutions by the determined sensitivity for each respective captured source of variation.Type: ApplicationFiled: December 23, 2013Publication date: June 19, 2014Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Nathan BUCK, Brian DREIBELBIS, John P. DUBUQUE, Eric A. FOREMAN, Peter A. HABITZ, David J. HATHAWAY, Jeffrey G. HEMMETT, Natesan VENKATESWARAN, Chandramouli VISWESWARIAH, Vladimir ZOLOTOV
-
Patent number: 8751989Abstract: A technique for routing signal traces in an electronic package design includes extracting near-end and far-end crosstalk values for traces and vias from a model of the electronic package design. The extracted values are then length-normalized and the normalized values are allocated to coupling factors of a cost-function. A first bus routing for the electronic package design is performed to provide a first routed design. Length segments from the first routed design are extracted and inserted in the cost-function. Crosstalk for each bus net is accumulated using the cost-function. In response to the accumulated crosstalk being less than a determined limit, the first routed design is saved. In response to the accumulated crosstalk being greater than the determined limit, an additional bus routing for the electronic package design is performed.Type: GrantFiled: February 13, 2013Date of Patent: June 10, 2014Assignee: International Business Machines CorporationInventors: Hubert Harrer, Philip Scott Honsinger, Andreas Huber, Dierk Kaller, Martin Kindscher
-
Publication number: 20140152512Abstract: An antenna includes a substrate and a conductive top plate over the substrate. A feed line is connected to the top plate, and the feed line comprises a first through-silicon via (TSV) structure passing through the substrate. The feed line is arranged to carry a radio frequency signal. A method of designing an antenna includes selecting a shape of a top plate, determining a size of the top plate based on an intended signal frequency, and determining, based on the shape of the top plate, a location of each TSV of at least one TSV contacting the top plate. A method of implementing an antenna includes forming a first feed line through a substrate, the first feed line comprising a TSV, and forming a top plate over the substrate, the top plate being electrically conductive and connected to the first feed line.Type: ApplicationFiled: February 5, 2014Publication date: June 5, 2014Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Hsiao-Tsung YEN, Jhe-Ching LU, Yu-Ling LIN, Chin-Wei KUO, Min-Chie JENG
-
Publication number: 20140157219Abstract: A design support apparatus calculates a crosstalk noise value when a power line does not run parallel, for each of a plurality of sections. Moreover, the design support apparatus calculates a coefficient Fshield that becomes larger with decrease in the area of the power line included in an area between two signal lines based on a relative positional relationship between the two signal lines and the power line in a section, for each of the plurality of sections. Moreover, the design support apparatus corrects the crosstalk noise value corresponding to a section, using the coefficient Fshield corresponding to the section, for each of the plurality of sections. Moreover, the design support apparatus calculates a total of the corrected crosstalk noise values corresponding respectively to the plurality of sections as a crosstalk noise value between the two signal lines.Type: ApplicationFiled: September 26, 2013Publication date: June 5, 2014Applicant: FUJITSU LIMITEDInventor: YOICHIRO ISHIKAWA
-
Patent number: 8745563Abstract: A system for simulating operation of a VLSI interconnect structure having capacitive and inductive coupling between nodes thereof, including a processor, and a memory, the processor configured to perform obtaining a matrix X and a matrix Y containing different combinations of passive circuit element values for the interconnect structure, the element values for each matrix including inductance L and inverse capacitance P, obtaining an adjacency matrix A associated with the interconnect structure, storing the matrices X, Y, and A in the memory, and performing numerical integration to solve first and second equations.Type: GrantFiled: December 10, 2012Date of Patent: June 3, 2014Assignee: Purdue Research FoundationInventors: Jitesh Jain, Stephen F Cauley, Hong Li, Cheng-Kok Koh, Vankataramanan Balakrishnan
-
Patent number: 8745562Abstract: A design method of on-board wiring for a designed circuit includes determining a severity as a crosstalk prevention index for a pair of wires based on a generated noise level of a damaging side wire and a permissible noise level of a damaged side wire. The pair of wires is then assigned a severity class (SC) based on the severity determined. The SC is a pre-defined value range(s) for severity classification. Based on a preset SC specific permissible value list, one or more by-design permissible values belonging to the SC is generated for a design element of the pair of wires. A layout of the pair of wires on a board is constructed based on the by-design permissible value.Type: GrantFiled: December 5, 2012Date of Patent: June 3, 2014Assignee: DENSO CORPORATIONInventors: Masashi Inagaki, Kouji Ichikawa, Makoto Tanaka, Hideki Kashiwagi
-
Patent number: 8732649Abstract: A method and a system for determining the observability of faults in an electronic circuit include a processor that simulates, in a simulation phase, a behavior of the electronic circuit using a simulation model, and that determined, in an analysis phase, based on the simulation, and for each of a plurality of elements of the electronic circuit, time periods in which an occurrent fault could cause a deviation in analysis output signals, where the occurrent fault is determined not to cause any deviation in output signals in other time periods.Type: GrantFiled: February 8, 2011Date of Patent: May 20, 2014Assignee: Robert Bosch GmbHInventor: Robert Hartl
-
Publication number: 20140137063Abstract: Techniques for use in integrated circuit design systems for extracting noise threshold data for selected cells. For example, a method comprises the following steps. A cell is selected from one or more cells in a given collection of standardized cells. Each of the one or more cells represents one or more functional circuit design blocks that are usable as part of a design of an integrated circuit. A noise signal is generated or selected. The noise signal is applied to an input node of the selected cell. Noise threshold data is identified using a noise analysis module, for a given set of process, voltage and temperature variations, for an output node of the selected cell based on the noise signal applied to the input node of the selected cell.Type: ApplicationFiled: November 9, 2012Publication date: May 15, 2014Applicant: LSI CorporationInventors: Lun Ye, Diwakar Ramadasu, Shruthi Arun
-
Patent number: 8726211Abstract: A method is provided for use during static timing analysis of an integrated circuit design to produce an equivalent waveform model, the method comprising: using an analog model of the inner component, to simulate an inner component to produce multiple analog simulation output characterization waveforms as a function of multiple input waveforms used to characterize the design cell; using the analog model of the inner component to simulate the inner component to produce an analog simulation output waveform as a function of the complex waveform; and producing the equivalent waveform model as a function of the multiple analog simulation output characterization waveforms and the analog simulation output waveform.Type: GrantFiled: October 1, 2012Date of Patent: May 13, 2014Assignee: Cadence Design Systems, Inc.Inventors: Joel R. Phillips, Qunzeng Liu, Igor Keller
-
Patent number: 8726201Abstract: A method and system to predict a number of electromigration critical elements in semiconductor products. This method includes determining critical element factors for a plurality of library elements in a circuit design library using a design tool running on a computer device and based on at least one of an increased reliability temperature and an increased expected current. The method also includes determining a number of critical elements in a product based on: (i) numbers of respective ones of the plurality of library elements comprised in the product, and (ii) the critical element factors.Type: GrantFiled: May 14, 2010Date of Patent: May 13, 2014Assignee: International Business Machines CorporationInventors: Jeanne P. Bickford, Peter A. Habitz, Baozhen Li, Paul S. McLaughlin, Dileep N. Netrabile
-
Patent number: 8726210Abstract: Systems and methods are provided to optimize critical paths by modulating systemic process variations, such as regional timing variations in IC designs. A method includes determining a physical location of an element in the semiconductor chip design within the critical path. The method further includes modulating a systemic process variation of the semiconductor chip design to speed up the critical path based on a polysilicon conductor perimeter density associated with the element.Type: GrantFiled: March 9, 2012Date of Patent: May 13, 2014Assignee: International Business Machines CorporationInventors: Albert M. Chu, Manikandan Viswanath
-
Patent number: 8726212Abstract: An embodiment method of streamlining parasitic modeling using a common device profile includes importing, using a processor, a simulated middle end of line (MEOL) profile into a characterization tool, generating, using the processor, a MEOL pattern based on the simulated MEOL profile, import, using the processor, the MEOL pattern and a real profile into a field solver to generate a MEOL capacitance table, updating, using the processor, capacitance data in the characterization tool based on the MEOL capacitance table generated, and generating, using the processor, a resistance and capacitance parasitic extraction technology file using the characterization tool with the capacitance data as updated.Type: GrantFiled: February 21, 2013Date of Patent: May 13, 2014Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Cheng-I Huang, Chung-Hsing Wang, Hsiao-Shu Chao