Detailed Patents (Class 716/130)
  • Patent number: 8086991
    Abstract: This invention is directed to a methodology of creating and detecting crossings of conductive traces on different layers of an integrated circuit or a conducting trace over a device contact during a system. Values are stored by the system simulator corresponding to the galvanic potential or same “net,” and then by a set of rule based instructions the vias are automatically displayed, correct-by-construction, and via connections between the traces, or the trace and device contact, to short circuit the paths. The via structure will not be created if it will short-circuit a conducting trace not associated with the net in question. By connecting traces on different layers using automatically created via structures so as not to short circuit other net traces, errors are eliminated and design cycles reduced when compared to a manual design scheme of inserting via connections. There is a number of useful variations that can be applied to the via structure automatically created.
    Type: Grant
    Filed: July 25, 2008
    Date of Patent: December 27, 2011
    Assignee: AWR Corporation
    Inventor: Joseph Edward Pekarek
  • Patent number: 8086987
    Abstract: Overloaded regions in the routing space of a physical network are resolved via a routing procedure composed of a topological routing phase and a geometric routing phase. The overloads are resolved in the topological routing phase where the constraints of routing are less prohibitive. Multiple topological transformations directed toward resolving the overloads are executed in the topological routing phase prior to a geometric arrangement being realized. The topological transformations may be applied concurrently by way of a multi-threaded embodiment of the invention.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: December 27, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, William Schilp
  • Patent number: 8082533
    Abstract: Overloaded regions in the routing space of a physical network are resolved via a routing procedure composed of a topological routing phase and a geometric routing phase. The overloads are resolved in the topological routing phase where the constraints of routing are less prohibitive. Multiple topological transformations directed toward resolving the overloads are executed in the topological routing phase prior to a geometric arrangement being realized. The topological transformations may be applied concurrently by way of a multi-threaded embodiment of the invention.
    Type: Grant
    Filed: July 21, 2011
    Date of Patent: December 20, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, William Schilp
  • Publication number: 20110304055
    Abstract: A semiconductor integrated circuit includes a first wiring formed on a first wiring layer and prolonged in a first direction, a second wiring formed on a second wiring layer and prolonged in a second direction, a third wiring formed on the first wiring layer and prolonged in the first direction, a fourth wiring formed on the second wiring layer and prolonged in the second direction, a multi-cut via formed to connect the first wiring to the second wiring, the multi-cut via including a first via and a second via formed in the first direction, and a single-cut via formed to connect the third wiring to the fourth wiring. A first overhang is provided in a direction opposite to the first direction, the first overhang being larger than a second overhang, the second overhang being smaller than a third overhang.
    Type: Application
    Filed: August 17, 2011
    Publication date: December 15, 2011
    Applicant: Renesas Electronics Corporation
    Inventor: Keiichi Nishimuda
  • Patent number: 8079011
    Abstract: A printed circuit board includes a group of pads suitable to be soldered to a respective group of solder-balls of a device. Each pad of the group has a crack initiation point on its perimeter at a location where cracks in a solder-ball are anticipated to start after that solder-ball is soldered to that pad. For a pad of that group having a microvia located therein, a center of that microvia is located farther than a center of that pad from its crack initiation point. For a pad of that group having a trace merging along a portion of its perimeter, that portion does not include a vicinity of that crack initiation point.
    Type: Grant
    Filed: December 12, 2007
    Date of Patent: December 13, 2011
    Assignee: Intel Corporation
    Inventors: Cheng Siew Tay, Wendy Chet Ming Ngoh, Choi Keng Chan
  • Patent number: 8065649
    Abstract: A method is provided that performs a path search that identifies several path extensions. The method performs a viability check on a particular path extension by identifying first and second circuit geometries. The first circuit geometry is associated with a particular segment of a route that would result from the particular path expansion in a design layout. The second circuit geometry is associated with a circuit element to which the particular segment connects. The viability check also determines whether connecting the segment with the first geometry and the circuit element with the second geometry is allowable based on predetermined rules. The method stores the particular path expansion in a storage medium as a viable path expansion when the viability check determines that connecting the segment with the first geometry and the circuit element with the second geometry is allowable.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: November 22, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Asmus Hetzel, Etienne Jacques
  • Patent number: 8065652
    Abstract: Various embodiments of the invention comprise methods and systems for determining when or whether to use hard rules or preferred rules during global routing of an electronic design. In some embodiments, the entire routable space is first routed with hard rules during global routing while ensuring the design may be embedded. The design is then analyzed with preferred rules where the overcongested areas are marked as “use hard rule” and areas not overcongested are marked as “use preferred rule.” The methods or the systems thus ensure that the design remains routable throughout the process while improving timing, manufacturability, or yield by reserving routing space for the preferred rules.
    Type: Grant
    Filed: August 13, 2007
    Date of Patent: November 22, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Jeffrey Scott Salowe, Charles T. Houck
  • Patent number: 8060849
    Abstract: Particular embodiments generally relate to automatic routing of a bus in an integrated circuit design. In one embodiment, a method includes receiving a description of a circuit design. Buses are automatically detected based on pin adjacency in terms of distance between pins and routing layer of the pins. A bus routing area is determined by the bounding box of first group of source pins and a second group of destination pins. Bus routing guidance is then generated by an automatically search engine in the bus routing area. The bus routing guidance models a bus as a skinny wire with large spacing, and it does not violate design rules. Real bus wires are generated based on the bus guidance. A bus is then automatically routed between a first group of source pins and a second group of destination pins based on the bus routing guidance.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: November 15, 2011
    Assignee: Mentor Graphics Corporation
    Inventors: Yanyan He, Gary Lin, Hung Nguyen, MingFu Gong
  • Publication number: 20110273240
    Abstract: A printed circuit board layout method includes the following steps. A printed circuit board with a signal layer and a pair of differential transmission lines positioned on the signal layer is provided. A first distance is determined; when the distance between the pair of differential transmission lines is greater than the first distance, an eye width and an eye height of an eye diagram nearly remains the same. When a distance between the pair of differential transmission lines is less than the first distance, an eye width and an eye height of an eye diagram decreases. A second distance that is less than the first distance is set between the pair of differential transmission lines which makes the eye width and the eye height greater than a predetermined value, and which is determined by a Far End Crosstalk (FEXT) on the eye diagram when the pair differential transmission lines transmit signals.
    Type: Application
    Filed: August 13, 2010
    Publication date: November 10, 2011
    Applicant: HON HAI PRECISION INDUSTRY CO., LTD.
    Inventor: YU-HSU LIN
  • Patent number: 8056042
    Abstract: An automatic delay adjusting method of a semiconductor integrated circuit includes placing a dummy wiring to a layout data and connecting the dummy wiring to a target wiring between a first cell and a second cell which is a timing violation occurs for the target wiring in the layout data. The dummy wiring connection includes replacing the dummy wiring with a dummy wiring cell having first and second pins corresponding to both ends of the dummy wiring, cutting the target wiring to generate first and second target wirings, connecting the first and second target wirings to the first and second pins, respectively, and replacing the dummy wiring cell with the dummy wiring to provide a wiring that is connected with the dummy wiring to the cut target wiring.
    Type: Grant
    Filed: June 18, 2008
    Date of Patent: November 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Seiji Miyagawa
  • Patent number: 8056041
    Abstract: An apparatus of preventing congestive placement is provided. The apparatus comprises a judging module, a pattern generating module, and a placement module. The judging module judges whether a circuit layout comprises a congestive region according to a judging rule. When a judgment result of the judging module is affirmative, the pattern generating module generates a redistribution pattern with a density distribution of blockages. The density distribution gradually decreases outward. The placement module regards the congestive region as the center redistributes the blockages and electronic cells according to the redistribution pattern.
    Type: Grant
    Filed: May 25, 2009
    Date of Patent: November 8, 2011
    Assignee: MStar Semiconductor, Inc.
    Inventors: Chen-Hsing Lo, Chien-Pang Lu
  • Patent number: 8051397
    Abstract: Method and system for conducting design explorations of an integrated circuit are disclosed. In one embodiment, the method includes obtaining a design description of the integrated circuit that includes a virtual design block, creating a representative netlist for representing the virtual design block, where the representative netlist includes one or more soft design models, and each soft design model comprises one or more template cells for modeling a portion of the integrated circuit. The method further includes defining physical attributes for the one or more soft design models in accordance with area requirements of the virtual design block, where the one or more soft design models are described with flexible shape and pin locations, performing design explorations of the integrated circuit using the one or more soft design models and their corresponding template cells, and generating a representative implementation of the integrated circuit using results of the design explorations.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: November 1, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Thaddeus Clay McCracken, Jong-Chang Lee, Ping-Chih Wu, Cecile Nghiem, Kit Lam Cheong, Patrick John Eichenseer
  • Patent number: 8051401
    Abstract: A technique for generating a layout of an integrated circuit places standard cells in position and provides power rail conductors formed in a second metal layer overlying power connection conductors formed in a first metal layer via which the power is supplied to the standard cells. Routing connection conductors are added in the first metal layer and are permitted to pass through gaps between the power connection conductors of the first metal layer and underneath the power rail conductors of the second metal layer. Once routing has been performed, gaps between the power connection conductors of the first metal layer underlying the power rail conductors and not being used by routing connection conductors are closed so as form interrupted power rail conductors within the first meal layer.
    Type: Grant
    Filed: October 15, 2008
    Date of Patent: November 1, 2011
    Assignee: ARM Limited
    Inventor: Marlin Wayne Frederick
  • Publication number: 20110260318
    Abstract: Methods and/or associated devices and/or systems for creating integrated circuits (IC's) that have multiple connected I/O regions that can be designed and implemented using commonly available standard I/O libraries in conjunction with standard IC design flows and tools and in combination with one or more novel standardized I/O region interconnect cells for interconnecting between or through otherwise separated I/O regions. Specific embodiments support a wide variety of IC's that can be developed using standard libraries and design flows including: application specific integrated circuits (ASIC's), programmable logic devices (PLDs), custom IC's, analog IC's, CPU's, GPU's, and other IC's that require large numbers of input/ouput (IO) circuits while having relatively small core circuitry areas. Specific embodiments may involve innovative I/O cell functions, innovative IC topologies, and innovative IC packaging solutions for single die packages and multiple die packages.
    Type: Application
    Filed: April 24, 2010
    Publication date: October 27, 2011
    Inventor: Robert Eisenstadt
  • Patent number: 8046728
    Abstract: A first library cell and a second library cell each includes a plurality of metal layers, and a metal track direction of the odd metal layers of the first library cell is perpendicular to that of the odd metal layers of the second library cell. An integrated circuit design method applied to these library cells includes the steps of rotating the second library cell to cause the metal track direction of the odd metal layers of the second library cell to be parallel to that of the odd metal layers of the first library cell, and placing the first library cell and the second library cell in an identical integrated circuit design.
    Type: Grant
    Filed: March 23, 2009
    Date of Patent: October 25, 2011
    Assignee: Realtek Semiconductor Corp.
    Inventor: Chien-Cheng Liu
  • Patent number: 8032849
    Abstract: Disclosed is a procedure or design approach for functional modules that may be used in connection with a multiprocessor integrated circuit chip. The approach includes keeping the dimensions of each module substantially the same and having the bus, power, clock and I/O connection configured the same on all modules. Further requirements for ease of use are to generalize the capability of each module as much as possible and to decentralize functions such as testing to be primarily performed within each module. The use of such considerations or rules substantially eases the design of a given type of custom chips, and based upon an initial chip design greatly facilitates the design of further custom chips, similar in application, but subsequent to the successful completion of the initial chip.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Harm Peter Hofstee, James Allan Kahle, Takeshi Yamazaki
  • Patent number: 8032851
    Abstract: A design structure for reducing coupling between wires of an electronic circuit is proposed, wherein sets of nets are classified according to their coupling characteristics, and spacing between wires assigned to the sets of nets is chosen according to the coupling characteristics.
    Type: Grant
    Filed: August 28, 2007
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: Moussadek Belaidi, Markus Buehler, James J. Curtin, Adam P. Matheny, Bryan A. Meyer, Douglas S. Search, Dhaval R. Sejpal, Charles Vakirtzis
  • Patent number: 8032850
    Abstract: A design structure for a circuit for measuring the absolute duty cycle of a signal, is provided. A non-inverted path from a signal source is selected and various DCC circuit setting indices are cycled through until a divider, coupled to the output of the DCC circuit, fails. A first minimum pulse width at which the divider fails is then determined based on the index value of the DCC circuit at the time of the failure. An inverted path from the signal source is selected and the various DCC circuit setting indices are cycled through again until the divider fails. A second minimum pulse width at which the divider fails is then determined based on the index value of the DCC circuit at the time of this second failure. The duty cycle is then calculated based on a difference of the first and second minimum pulse width values.
    Type: Grant
    Filed: May 30, 2008
    Date of Patent: October 4, 2011
    Assignee: International Business Machines Corporation
    Inventors: David W. Boerstler, Eskinder Hailu, Masaaki Kaneko, Jieming Qi, Bin Wan
  • Publication number: 20110239181
    Abstract: In one embodiment, a wiring design method is disclosed. In the wiring design method, schematic wiring is performed on a substrate, and the substrate includes a first wiring layer with first-direction wiring lines and a second wiring layer with second-direction wiring lines; the substrate is divided into a plurality of tiles; the first wiring layer is divided into partial wiring regions with first-direction wiring lines, the second wiring layer is divided into partial wiring regions with second-direction wiring lines, and each partial wiring region corresponds to the tiles; and when the first-direction wiring lines in the tile overflow, the partial wiring region with second-direction wiring lines corresponding to the tile is changed to the partial wiring region with first-direction wiring lines.
    Type: Application
    Filed: March 10, 2011
    Publication date: September 29, 2011
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Taku UCHINO
  • Patent number: 8028259
    Abstract: Validation of full-chip power distribution networks can be performed very early, and continuously throughout the design cycle, to detect real physical power connection problems and enable early correction of power grid designs using early floor plan and power grid design data. Common power connection and distribution errors are automatically addressed as an integral part of the early chip floor planning and chip power build processes providing efficient solutions requiring no extra wiring resource to be implemented and reducing the runtime of required final full-chip physical design checks, and the overall design cycle.
    Type: Grant
    Filed: February 15, 2008
    Date of Patent: September 27, 2011
    Assignee: International Business Machines Corporation
    Inventor: Dieu Q. Phan Vogel
  • Publication number: 20110231810
    Abstract: A computer-readable, non-transitory medium stores therein a design support program that causes a computer executing tentative wiring processing between a first terminal group and a second terminal group in a tentative wiring area to execute a process. The process includes detecting unwired nets occurring in the tentative wiring area consequent to the tentative wiring processing; updating the tentative wiring area by expanding the tentative wiring area according to the number of unwired nets, if any unwired nets are detected at the detecting; controlling to execute the tentative wiring processing and the subsequent detecting with respect to the tentative wiring area updated at the updating; and determining the tentative wiring area to be a wiring area if no unwired nets are detected at the detecting.
    Type: Application
    Filed: February 24, 2011
    Publication date: September 22, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Motoyuki TANISHO, Toshiyasu SAKATA, Yoshitaka NISHIO, Ikuo OHTSUKA, Kazunori KUMAGAI
  • Patent number: 8024693
    Abstract: One embodiment of the present invention provides a system that optimizes a circuit design during a logic design stage to reduce routing congestion during a placement and routing stage. During operation, this system identifies a first circuit structure in the circuit design which is expected to cause routing congestion during the placement and routing stage. Next, the system generates a second circuit structure which is functionally equivalent to the first circuit structure, and is not expected to cause routing congestion during the placement and routing stage. The system then replaces the first circuit structure in the circuit design with the second circuit structure, thereby mitigating routing congestion during the placement and routing stage.
    Type: Grant
    Filed: November 4, 2008
    Date of Patent: September 20, 2011
    Assignee: Synopsys, Inc.
    Inventors: Jay K. Adams, Qingzhou Wang, Yong Xiao
  • Publication number: 20110223761
    Abstract: Methods for fabricating contacts of semiconductor device structures include forming a dielectric layer over a semiconductor substrate with active-device regions spaced at a first pitch, forming a first plurality of substantially in-line apertures over every second active-device region of the active-device regions, and forming a second plurality of substantially in-line apertures laterally offset from apertures of the first plurality over active-device regions over which apertures of the first plurality are not located. Methods for designing semiconductor device structures include forming at least two laterally offset sets of contacts over a substrate including active-device regions at a first pitch, the contacts being formed at a second pitch that is about twice the first pitch.
    Type: Application
    Filed: May 23, 2011
    Publication date: September 15, 2011
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: John K. Lee, Hyuntae Kim, Richard L. Stocks, Luan Tran
  • Patent number: 8020135
    Abstract: Some embodiments of the invention provide a process for designing and manufacturing an integrated circuit (“IC”). The process selects a wiring configuration and an illumination configuration. The process uses the selected wiring configuration to design an IC layout. The process then uses the selected illumination configuration to manufacture the IC based on the designed IC layout. Some embodiments select a wiring configuration based on the selected illumination configuration. In some embodiments, selecting the illumination configuration entails selecting at least one stepper lens for the IC layout, where the stepper lens illuminates at least one mask for at least one particular layer of the IC layout. Also, in some embodiments, selecting the wiring configuration entails defining the width and/or spacing of the routes along different directions on at least one particular wiring layer of the IC layout.
    Type: Grant
    Filed: June 9, 2008
    Date of Patent: September 13, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Akira Fujimura, Louis K. Scheffer
  • Patent number: 8015533
    Abstract: A method, algorithm, software, architecture and/or system for routing signal paths or connections between circuit blocks in a circuit design is disclosed. In one embodiment, a method of routing can include: (i) determining a signal path between at least three circuit blocks; (ii) placing a routing guide; and (iii) routing the signal path through the routing guide such that a timing of a signal along the signal path at two or more the circuit blocks is substantially matched. The circuit blocks can include standard cells configured to implement a logic or timing function, other components, and/or integrated circuits, for example. The routing guide can include a splitter configured to branch the signal path into at least two associated segments. Embodiments of the present invention can advantageously improve signal timing for high fanout signal paths between circuit blocks in an automated place-and-route flow.
    Type: Grant
    Filed: September 21, 2004
    Date of Patent: September 6, 2011
    Assignee: Golden Gate Technology, Inc.
    Inventors: Michael Burstein, Boris Ginzburg, Andrew Nikishin
  • Patent number: 8015536
    Abstract: Traces routed through a computer depiction of a routing area of an electronics system comprise a plurality of connected nodes. Forces are assigned to the nodes, and the nodes are moved in accordance with the forces. The forces may be based on such things as the proximity of the nodes to each other and to obstacles in the routing area. This tends to smooth, straighten and/or shorten the traces, and may also tend to correct design rule violations.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: September 6, 2011
    Assignee: FormFactor, Inc.
    Inventor: Mac Stevens
  • Patent number: 8015529
    Abstract: An IC device and layout having one or more layers having route segments and at least some shield segments that are diagonal in orientation. Shield termination segments enclosing a route segment may be diagonal in orientation. Some embodiments describe a method for providing diagonal shielding for a routed net of an IC layout. A route “bloating” method is used where shield position lines (used to position the shielding) are generated by expanding out the dimensions of routes using a bloating shape. The bloating shape that may be dependent on the preferred wiring direction of the layer on which the shielding is provided. After bloating a route, a resulting bloating geometry is identified comprising the area overlapped during the expanding out of the route. The perimeter of the bloating geometry is identified comprising the shield position lines.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: September 6, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Judd M Ylinen, Alexander Khainson
  • Patent number: 8015535
    Abstract: A method of limiting the routing resources of an integrated circuit (IC) that are available for use when routing multi-fanout nets can include selecting a multi-fanout net comprising a source and a plurality of loads and identifying each region of the IC which does not include at least one of the plurality of loads. Each of the regions can have a defined geometry. A type of routing resource can be selected which has a physical orientation with respect to the IC that corresponds to the geometry of the regions of the IC. Each routing resource of the selected type that is located within a region of the IC which does not include at least one of the plurality of loads can be excluded from consideration when routing the multi-fanout net.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: September 6, 2011
    Assignee: XILINX, Inc.
    Inventors: Raymond Kong, Anirban Rahut
  • Publication number: 20110214100
    Abstract: Methods and apparatuses to design an Integrated Circuit (IC) with a shielding of wires. In at least one embodiment of the present invention, a shielding mesh of at least two reference voltages (e.g., power and ground) is used to reduce both the capacitive coupling and the inductive coupling in routed signal wires in IC chips. In some embodiments, a type of shielding mesh (e.g., a shielding mesh with a window surrounded by a power ring, or a window with a parser set of shielding wires) is selected to make more routing area available in locally congested areas. In other embodiments, the shielding mesh is used to create or add bypass capacitance. Other embodiments are also disclosed.
    Type: Application
    Filed: May 4, 2011
    Publication date: September 1, 2011
    Inventor: Kenneth S. McElvain
  • Patent number: 8010929
    Abstract: Some embodiments of the invention provide a method for defining wiring directions in a design layout having several wiring layers. The method decomposes a first wiring layer into several non-overlapping regions. It assigns at least two different local preferred wiring directions to at least two of the regions. In some embodiments, the method decomposing the first wiring layer by using the vertices of items in the layout to decompose the layout. In some of these embodiments, the items include macro blocks. The method of some embodiments also identifies several power via arrays on the first wiring layer, and identifies a local preferred wiring direction based on the arrangement of the power via arrays on the first wiring layer.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: August 30, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Anish Malhotra, Jonathan Frankle, Asmus Hetzel
  • Patent number: 8010928
    Abstract: A system of automatically routing interconnect of a integrated circuit design while taking into consideration the parasitic issues of the wiring as it is created. The system will be able to select an appropriate wiring pattern so that signals meet their performance requirements.
    Type: Grant
    Filed: March 14, 2008
    Date of Patent: August 30, 2011
    Assignee: Pulsic Limited
    Inventors: Jeremy Birch, Mark Waller, Mark Williams, Graham Balsdon, Fumiaki Sato, Tim Parker
  • Patent number: 8006217
    Abstract: To reduce current consumption in a frequency-division circuit, particularly in a multistage frequency-division circuit, in a multistage frequency-division circuit, an inputted signal has a higher frequency in a preceding stage, and an inputted signal has a lower frequency in a following stage. Thus, placement is performed preferentially from the basic cell corresponding to the frequency-division circuit into which a signal having a higher frequency is inputted, and then wiring connection is performed. In other words, the layout of a plurality of basic cells corresponding to a multistage frequency-division circuit is performed so that, as compared to a wiring into which a signal having a lower frequency is inputted, a wiring into which a signal having a higher frequency is inputted has a shorter wiring length and has less intersection with other wirings, so that parasitic capacitance and parasitic resistance of the wiring are reduced.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: August 23, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Tomoaki Atsumi
  • Patent number: 8006216
    Abstract: Techniques are disclosed for performing topologically planar routing of System in Packages (SiPs). A routing graph can be represented by a particle-insertion-based constraint Delaunay triangulation (PCDT) and its dual. A dynamic search routing may be performed using a DS* routing algorithm to determine the shortest path on the dual graph between a start point and an end point. Based on a dynamic pushing technique, net ordering problems may be solved. A first wire can be topologically routed. Dynamic search routing of a second wire may be performed. The first wire may be pushed or detoured in response to the dynamic searching routing of a second wire.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: August 23, 2011
    Assignee: Magma Design Automation, Inc.
    Inventors: Guoqiang Chen, Kaushik Sheth, Egino Sarto, Shenghua Liu
  • Patent number: 8006212
    Abstract: One embodiment of the present invention provides a system for facilitating floorplanning for three-dimensional integrated circuits (3D ICs). During operation, the system receives a number of circuit blocks. The system places the blocks in at least one layer of a multi-layer die structure and sets an initial value of a time-varying parameter. The system then iteratively perturbs the block arrangement until the time-varying parameter reaches a pre-determined value.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: August 23, 2011
    Assignee: Synopsys, Inc.
    Inventors: Subarnarekha Sinha, Charles C. Chiang
  • Patent number: 8001513
    Abstract: High density circuit modules are formed by stacking integrated circuit (IC) chips one above another. Unused input/output (I/O) locations on some of the chips can be used to connect other I/O locations, resulting in decreased impedance between the chips. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: August 16, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Silvestri
  • Patent number: 8001511
    Abstract: A method of modeling two IC dies using the same software model, although the two dies include physical differences. A first programmable logic device (PLD) die includes first and second portions, and is encoded to render the first portion operational and the second portion non-operational. At a boundary between the two portions, interconnect lines traversing the boundary include a first section in the first portion and a second section in the second portion. The second PLD die includes the first portion of the first PLD die, while omitting the second portion. The interconnect lines extending to the edge of the second die are coupled together in pairs. A software model for both die includes a termination model that omits the pair coupling, adds an RC load compensating for the omitted connection, and (for bidirectional interconnect lines) flags one interconnect line in each pair as being invalid for use by routing software.
    Type: Grant
    Filed: October 6, 2008
    Date of Patent: August 16, 2011
    Assignee: Xilinx, Inc.
    Inventors: Trevor J. Bauer, Jeffrey V. Lindholm, F. Erich Goetting, Bruce E. Talley, Ramakrishna K. Tanikella, Steven P. Young
  • Patent number: 8001514
    Abstract: One embodiment of the present invention provides a system that computes a routability estimation across a collection of local routing regions associated with a circuit layout. This system first selects a first local routing region associated with a route overflow, wherein a respective local routing region is associated with an estimation of a number of route overflows for routing layers in a region of the circuit layout. Furthermore, a respective routing layer is associated with a preferred direction variable D. Next, the system transfers an overflow value k in direction d away from an overflowing routing layer for the first local routing region to a second local routing region, which has the capacity to handle an overflow of k or more routes in a direction d. Finally, the system computes a global routability estimation as a function of a global overflow cost and an adjacent overflow cost.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: August 16, 2011
    Assignee: Synopsys, Inc.
    Inventors: Douglas Chang, Neeraj Kaul, Balkrishna Rashingkar
  • Patent number: 7996806
    Abstract: Methods and apparatus are provided for designing and laying out multi-layer circuit substrates, such as multi-layer PCBs. Dynamic vias are provided on intermediate PCB layers. Each dynamic via has features that adjust based on the trace layout of the corresponding intermediate layer. In particular, each dynamic via has a second radius R2 if the via is not connected to any trace on the corresponding intermediate layer. If a trace is connected to a dynamic via, the via radius changes from the second radius R2 to a first radius R1, where R1 is greater than R2.
    Type: Grant
    Filed: February 6, 2008
    Date of Patent: August 9, 2011
    Assignee: Electronics for Imaging, Inc.
    Inventor: David Kwong
  • Patent number: 7996805
    Abstract: The scan flipflops on a semiconductor chip are stitched together to form one or more scan chains, located in one or more standard cell placement regions, after the optimal physical location of each scan flip-flop has been determined. As a result, the total length of the scan chain wires is substantially reduced, thereby reducing on-chip wiring congestion, flip-flop load capacitance, and flipflop power dissipation.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: August 9, 2011
    Assignee: National Semiconductor Corporation
    Inventor: Ronald Pasqualini
  • Patent number: 7992122
    Abstract: A method, algorithm, software, architecture and/or system for placing circuit blocks and routing signal paths or connections between the circuit blocks in a circuit design is disclosed. In one embodiment, a method of placing and routing can include: (i) routing signal paths in one or more upper metal layers for connecting circuit blocks; (ii) adjusting the circuit blocks based on electrical characteristics of the signal paths; and (iii) routing in one or more lower metal layers connections between the circuit blocks and the upper layers. The circuit blocks can include standard cells, blocks, or gates configured to implement a logic or timing function, other components, and/or integrated circuits, for example. Embodiments of the present invention can advantageously reduce power consumption and improve timing closure in an automated place-and-route flow.
    Type: Grant
    Filed: March 25, 2005
    Date of Patent: August 2, 2011
    Assignee: GG Technology, Inc.
    Inventors: Michael Burstein, Boris Ginzburg
  • Publication number: 20110185329
    Abstract: Some embodiments of the present invention provide systems for generating and using a route fix guidance for fixing design rule violations. A route fix guidance includes information that enables a router to locally modify a routing solution to fix one or more design rule violations. A route fix guidance can include a set of two or more metal avoidance areas, wherein avoiding any one of the set of two or more metal avoidance areas during routing fixes the design rule violation. Additionally, a route fix guidance can specify a set of rectangles to remove from a routing solution, and a set of rectangles to insert into or add to a routing solution. Further, the route fix guidance can include information for moving one or more vias to new locations in the routing solution. The route fix guidance can specify a sequence in which the local modifications are to be made.
    Type: Application
    Filed: January 28, 2010
    Publication date: July 28, 2011
    Applicant: SYNOPSYS, INC.
    Inventors: Linni Wen, Tong Gao
  • Publication number: 20110181331
    Abstract: A method for reducing leakage current of a delay line on a static net is provided. The static net provides a signal communication path between a data output of a first flip-flop and a data input of a second flip-flop via the delay line. The delay line is designed using standard cells but the standard cells are selected based on leakage power consumption in order to reduce the leakage power consumption of the delay line.
    Type: Application
    Filed: January 24, 2010
    Publication date: July 28, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Anubhav SRIVASTAVA, Anurag GUPTA, Sunil K. SINGLA, Neha SRIVASTAVA
  • Publication number: 20110185330
    Abstract: An array of through substrate vias (TSVs) is formed through a semiconductor substrate and a contact-via-level dielectric layer thereupon. A metal-wire-level dielectric layer and a line-level metal wiring structure embedded therein are formed directly on the contact-via-level dielectric layer. The line-level metal wiring structure includes cheesing holes that are filled with isolated portions of the metal-wire-level dielectric layer. In one embodiment, the entirety of the cheesing holes is located outside the area of the array of the TSVs to maximize the contact area between the TSVs and the line-level metal wiring structure. In another embodiment, a set of cheesing holes overlying an entirety of seams in the array of TSVs is formed to prevent trapping of any plating solution in the seams of the TSVs during plating to prevent corrosion of the TSVs at the seams.
    Type: Application
    Filed: April 6, 2011
    Publication date: July 28, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: David S. Collins, Alvin Joseph, Peter J. Lindgren, Anthony K. Stamper, Kimball M. Watson
  • Patent number: 7984394
    Abstract: A design structure for an integrated circuit including a first wire of a first level of wiring tracks, a second wire of a second level of wiring tracks, a third wire of a third level of wiring tracks, and a fourth wire located a first distance from the second wire in the second level of wiring tracks. A first via connects the first and second wires at a first location of the second wire. A second via connects the second and third wires at the first location, the second via is substantially axially aligned with the first via. A third via connecting the third and fourth wires at a second location of the fourth wire. A fourth via connecting the first and fourth wires at the second location, the fourth via is substantially axially aligned with the third via. The second, third, and fourth vias, and the third and fourth wires form a path between the first and second wires redundant to the first via.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: July 19, 2011
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Jeanne P. Bickford, Markus Buehler, Jason D. Hibbeler, Juergen Koehl, Edward J. Nowak
  • Patent number: 7984411
    Abstract: An iterative technique is used to automatically route nets and alter spacing of an integrated circuit design to achieve a fully routed and compact result. After identifying cells rows and channel, which are gaps between the rows, the technique determines which nets should be routed in which areas. Spine routing is used for nets than span more than one row or channel. Alter the space between rows, larger or smaller, which will allow routing of the nets.
    Type: Grant
    Filed: September 1, 2009
    Date of Patent: July 19, 2011
    Assignee: Pulsic Limited
    Inventor: Mark Waller
  • Patent number: 7979830
    Abstract: A method of designing a layout of a semiconductor integrated circuit having a hard macro includes acquiring a condition for permitting wirings with respect to a given region within the hard macro, and searching a passing wiring that passes through the given region among the wirings that are arranged on the semiconductor integrated circuit. The method further includes allowing a normal passing wiring that satisfies the condition to pass through the hard macro, and wiring a defaulting passing wiring that does not satisfy the condition so as to bypass the hard macro among the searched passing wirings.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: July 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Mitsuyuki Katsuzawa
  • Publication number: 20110167401
    Abstract: A method, computer program product, and apparatus for processing a wiring diagram is provided. Information associated with a number of components in the wiring diagram is identified. A scaling factor between a first format for the wiring diagram and a second format used by a software application configured to use wiring diagrams in the second format is identified. The scaling factor is applied to the information identified as being associated with the number of components in the wiring diagram to form processed information.
    Type: Application
    Filed: January 5, 2010
    Publication date: July 7, 2011
    Applicant: THE BOEING COMPANY
    Inventors: Molly Louise Boose, David Brayton Shema, Lawrence Sterne Baum, Joseph Charles Hrin
  • Patent number: 7971173
    Abstract: Disclosed is an improved method, system, and article of manufacture for implementing routing for an electrical circuit and chip design. A routing architecture can be represented as a spectrum of different granular routing levels. Instead of routing based upon area, routing can be performed for specific routes or portions of routes. Different types of representation or levels of abstraction for the routing can be used for the same net or route. Partial topological reconfiguration, refinement, or rip-up can be performed for a portion of the integrated circuit design, where the portion is smaller than an entire route or net. Non-uniform levels of routing activities or resources may be applied to route the design. Prioritization may be used to route certain portions of the design with greater levels of detail, abstraction, or resources than other portions of the design.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: June 28, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Richard Brashears, Eric Nequist
  • Patent number: 7971174
    Abstract: A circuit design process for the reduction of routing congestion is described. This process includes a block placement operation, an initial pin optimization for the block placement, and global routing based upon the initial pin optimization. Congestion data is generated from the global routing and, in an automated process, the pins are re-optimized, based upon the congestion data. This process can be used as part of a custom layout design process, for example.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: June 28, 2011
    Assignee: Cadence Design Systems, Inc.
    Inventors: Mahendra Singh Khalsa, Sanjib Ghosh, Vandana Gupta, Hitesh Marwah, Pawan Fangaria
  • Publication number: 20110154275
    Abstract: Circuit analysis software packages are a significant tool used today in the design of integrated circuits (ICs). Many of the conventional and commercially available simulation or analysis packages, however, are limited to performing static design “checks” using topology based search algorithms to find potential problems in a subject design. Here, a system is provided that allows a user to define parameters that comport with the subject design to generate a set of specific topologies from a set of generic topologies. These generated topologies can then be used to perform a more thorough analysis of the subject design.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 23, 2011
    Applicant: Texas Instruments Incorporated
    Inventors: Minas Hambardzumyan, Michael J. Krasnicki, Ryan W. Eatmon