Detailed Patents (Class 716/130)
  • Patent number: 8650516
    Abstract: Methods and systems for generating and verifying circuit layouts from computer-aided design tools for vertically integrated, three-dimensional integrated circuits are disclosed. In one instance, a 3-D technology file of these teachings is obtained by providing an identifier for two or more circuit levels, providing for each one of the two or more circuit levels an identifier for a 2-D technology file corresponding to each of the one or more circuit levels and providing a file structure including the two or more circuit levels and each identifier, corresponding to each one of the one or more circuit levels, for the 2-D technology file corresponding to each one of the two or more circuit levels. Other embodiments are disclosed.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: February 11, 2014
    Inventor: Lisa G. McIlrath
  • Patent number: 8645890
    Abstract: Some embodiments provide a method that defines a set of connections that connect the nodes in a configurable node array. The method identifies different sets of connections for connecting a set of the nodes. For each identified set of connections, the method computes a metric score that quantifies a quality of the identified set of connections. The method then selects one of the identified sets of connections to connect the configurable nodes in the array.
    Type: Grant
    Filed: September 15, 2012
    Date of Patent: February 4, 2014
    Assignee: Tabula, Inc.
    Inventors: Andre Rohe, Steven Teig
  • Patent number: 8645892
    Abstract: An integrated circuit (IC) design includes configurable circuits arranged in a mesh structure to facilitate routing of signals between different platforms or logic blocks within the design. Each configurable circuit has a semiconductor element with input and output terminals in a first semiconductor layer, input/output (I/O) ports corresponding to directions of the mesh structure in a second semiconductor layer, configurable input vias to allow a signal traveling in a first direction to be received, and configurable output vias that allow an output signal to be output from the configurable circuit in a second direction.
    Type: Grant
    Filed: January 7, 2013
    Date of Patent: February 4, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Vishal Gupta, Puneet Dodeja, Hans Raj Singh
  • Publication number: 20140033149
    Abstract: Systems and methods are provided for capturing mutual coupling effects between an integrated circuit chip and chip package using electronic design automation (EDA) tools. Specifically, a method is provided that is implemented in a computer infrastructure for designing an integrated circuit chip. The method includes compiling process technology parameters that describe electrical behavior for a chip-package coupling and a package of the integrated circuit chip. The method also includes generating a parasitic technology file to include the compiled process technology parameters.
    Type: Application
    Filed: July 30, 2012
    Publication date: January 30, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robert A. Groves, Wan Ni, Stephen A. St. Onge, Jiansheng Xu
  • Patent number: 8640072
    Abstract: A method includes forming a connection between a first metal layer and a second metal layer. The second metal layer is over the first metal layer. A via location for a first via between the first metal layer and the second metal layer is identified. Additional locations for first additional vias are determined. The first additional vias are determined to be necessary for stress migration issues. Additional locations necessary for second additional vias are determined. The second additional vias are determined to be necessary for electromigration issues. The first via and the one of the group consisting of (i) the first additional vias and second additional vias (ii) the first additional vias plus a number of vias sufficient for electromigration issues taking into account that the first additional vias, after taking into account the stress migration issues, still have an effective via number greater than zero.
    Type: Grant
    Filed: July 31, 2012
    Date of Patent: January 28, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Douglas M. Reber, Mehul D. Shroff, Edward O. Travis
  • Patent number: 8635572
    Abstract: Circuits, architectures, a system and methods for providing multiple power rails to a plurality of standard cells in a region of an integrated circuit. The circuitry generally includes a plurality of cells configured for connection to a first or second power rail, the first power rail providing a first voltage to at least one of the plurality of cells, and the second power rail providing a second voltage (which may be independent from the first voltage) to remaining cells in the plurality of cells. The method generally includes routing, in an IC layout, a first power rail providing a first voltage and a second power rail providing a second voltage, placing the plurality of cells, and selectively connecting first and second subsets of the plurality of cells to the first and second power rails, respectively. The present invention further advantageously minimizes regional layout design considerations and time delays.
    Type: Grant
    Filed: April 9, 2013
    Date of Patent: January 21, 2014
    Assignee: Marvell International Ltd.
    Inventors: Jianwen Jin, Eugene Ye
  • Patent number: 8635577
    Abstract: A design tool can automatically improve timing of nets of a fully routed physical design solution. Nets of a netlist are evaluated against a plurality of re-routing criteria to identify the nets that satisfy at least one of the plurality of re-routing criteria. For each of the nets that satisfy at least one of the plurality of re-routing criteria: several operations are performed. The net is globally re-routed to determine a new global route for the net. Those of the nets that are within a given distance of the new global route are identified. The net is detail re-routed in accordance with the new global route without regard to those of the nets within the given distance of the new global route. Those of the nets within the given distance of the new global route are re-routed after completion of the detailed re-routing of the net.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventors: Michael Anthony Kazda, Zhuo Li, Gi-Joon Nam, Ying Zhou
  • Patent number: 8635575
    Abstract: Improving semiconductor chip yield and reliability by connecting adjacent metal traces that are on a same network with metal shorts. This reduces and/or eliminates the need for redundant vias formerly employed in semiconductor chip design. Additionally, the metal shorts are placed in conformance with one or more pre-determined design rules. Once placed, the metal shorts are checked to ensure that each metal short connects groundrule clean, thereby ensuring the placement is correct-by-construction.
    Type: Grant
    Filed: May 15, 2012
    Date of Patent: January 21, 2014
    Assignee: International Business Machines Corporation
    Inventor: Henry A. Bonges, III
  • Patent number: 8631378
    Abstract: A method, system and computer program product for implementing enhanced clock tree distributions to decouple across N-level hierarchical entities of an integrated circuit chip. Local clock tree distributions are constructed. Top clock tree distributions are constructed. Then constructing and routing a top clock tree is provided. The local clock tree distributions and the top clock tree distributions are independently constructed, each using an equivalent local clock distribution of high performance buffers to balance the clock block regions.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: January 14, 2014
    Assignee: International Business Machines Corporation
    Inventors: Mark R. Lasher, Daniel R. Menard, Philip P. Normand
  • Patent number: 8615726
    Abstract: A cell library is automatically designed. An emphasis of a design methodology is on automatic determination of the desired or needed cell sizes and variants. This method exploits different variants on drive strengths, P/N ratios, topology variants, internal buffering, and so forth. The method allows generating libraries that are more suitable for efficient timing closure.
    Type: Grant
    Filed: September 6, 2011
    Date of Patent: December 24, 2013
    Assignee: Nangate Inc.
    Inventors: Andre Inacio Reis, Ole Christian Andersen
  • Patent number: 8612913
    Abstract: A method and apparatus for determining the propagation delay of a selected net in a circuit design is described. In one exemplary embodiment, a selected net is received, where the selected net includes a plurality of characteristics that represent the physical and/or parasitic parameters of the net. A net is a set of one or more wires that connects a set of circuit junctions between a pair of endpoints of that net. In addition, a simulation is performed on the selected net using the plurality of characteristics. The circuit design system computes the propagation delay for the selected net based on the simulation and makes available the propagation delay of that net. The propagation delay for a net is the delay for a signal traveling between the endpoints of the net.
    Type: Grant
    Filed: December 21, 2012
    Date of Patent: December 17, 2013
    Assignee: Synopsys, Inc.
    Inventor: David Peart
  • Patent number: 8607183
    Abstract: A method for simplifying metal shapes in an integrated circuit including receiving an incoming wire layout for at least one metal layer of an integrated circuit, the incoming wire layout for the at least one layer including a plurality of wires running in a preferred direction and a plurality of vias connected thereto.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: December 10, 2013
    Assignee: Infineon Technologies AG
    Inventors: Hanno Melzner, Olivier Rizzo, Jacques Herry
  • Patent number: 8607181
    Abstract: A system and method are provided for automatically converting a hardware abstraction language representation of a single-channel hardware module into a hardware abstraction language representation of a multi-channel module. Initially, a hardware abstraction language representation of a single channel hardware module is provided having an input port, output port, and a register. The method defines a number of channels and establishes a context switching memory. Commands are created for intercepting register communications. Commands are also created for storing the intercepted communications in a context switching memory, cross-referenced to channel. The module is operated using the created commands and stored communications from the context switching memory.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: December 10, 2013
    Assignee: Applied Micro Circuits Corporation
    Inventor: Dimitrios Mavroidis
  • Patent number: 8607180
    Abstract: An integrated circuit (IC) or a block of an IC is routed. The signals of the netlist to be routed are grouped according the signal properties. A signal property may be the time or clock used to initiate the switching of the signal. The signals of each group are routed successively. This causes the signals of later groups to be routed between the signals of previous groups thereby providing shielding between signals lines of the same group.
    Type: Grant
    Filed: May 9, 2012
    Date of Patent: December 10, 2013
    Assignee: LSI Corporation
    Inventor: Anuj Soni
  • Patent number: 8601408
    Abstract: A received layout identifies a plurality of circuit components to be included in an integrated circuit (IC) layer for double patterning the layer using two photomasks, the layout including a plurality of first patterns to be included in the first photomask and at least one second pattern to be included in the second photomask. A selected one of the first patterns has first and second endpoints, to be replaced by a replacement pattern connecting the first endpoint to a third endpoint. At least one respective keep-out region is provided adjacent to each respective remaining first pattern except for the selected first pattern. Data are generated representing the replacement pattern, such that no part of the replacement pattern is formed in any of the keep-out regions. Data representing the remaining first patterns and the replacement pattern are output.
    Type: Grant
    Filed: October 10, 2011
    Date of Patent: December 3, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Chung-Min Fu, Chung-Hsing Wang, Wen-Hao Chen, Yi-Kan Cheng
  • Patent number: 8601422
    Abstract: An improved approach for automatically generating physical layout constraints and topology that are visually in-sync with the logic schematic created for simulation is described. The present approach is also directed to an automatic method for transferring topology from logic design to layout.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: December 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Alok Tripathi, Abha Jain, Parag Choudhary, Utpal Bhattacharya
  • Patent number: 8601423
    Abstract: A method of interconnecting blocks of heterogeneous dimensions using a NoC interconnect with sparse mesh topology includes determining a size of a mesh reference grid based on dimensions of the chip, dimensions of the blocks of heterogeneous dimensions, relative placement of the blocks and a number of host ports required for each of the blocks of heterogeneous dimensions, overlaying the blocks of heterogeneous dimensions on the mesh reference grid based on based on a guidance floor plan for placement of the blocks of heterogeneous dimensions, removing ones of a plurality of nodes and corresponding ones of links to the ones of the plurality of nodes which are blocked by the overlaid blocks of heterogeneous dimensions, based on porosity information of the blocks of heterogeneous dimensions, and mapping inter-block communication of the network-on-chip architecture over remaining ones of the nodes and corresponding remaining ones of the links.
    Type: Grant
    Filed: October 23, 2012
    Date of Patent: December 3, 2013
    Assignee: NetSpeed Systems
    Inventors: Joji Philip, Sailesh Kumar, Eric Norige, Mahmud Hassan, Sundari Mitra
  • Patent number: 8601429
    Abstract: An automated system and method for determining flip chip connections involves generating a first projection that includes representations of bumps arranged over a core of the flip chip and generating a second projection that includes representations of I/O pads arranged around the core. The first projection is generated by drawing a line through each bump between a location of the flip chip and an outer portion of the flip chip and marking a location where the line terminates at the outer portion with a representation of the bump. The outer portion of the flip chip is traversed, and the first projection is generated based on the order in which bump representations are encountered. The second projection is generated by drawing a line through each I/O pad between a location of the flip chip and an outer portion of the flip chip and marking a location where the line terminates at the outer portion with a representation of the I/O pad.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: December 3, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Tao Yao, Phil Tu, Jaejoo Cho
  • Patent number: 8595682
    Abstract: Phase compensation in a differential pair of transmission lines, including: identifying, by a phase compensation module, a plurality of direction changes in the differential pair of transmission lines; determining, by the phase compensation module for each direction change in the differential pair of transmission lines, a direction change angle; and determining, by the phase compensation module for each direction change in the differential pair of transmission lines, the geometry of one or more phase correction humps to include in one transmission line of the differential pair of transmission lines in dependence upon the direction change angle.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventors: William T. Byrne, Robert J. Christopher, Paul D. Kangas, Pravin S. Patel, Daniel M. Ranck
  • Patent number: 8584069
    Abstract: A design support method executed by a computer includes: detecting a layout position of a first terminal in a cell as a first layout position from layout data including a cell of a macro which is arranged at a plurality of orientations, the first terminal being arranged at a first orientation; calculating a second layout position of a first terminal which is arranged at a second orientation which is different from the first orientation based on a variation from the first orientation to the second orientation and the first layout position; associating the second layout position with the first layout position and the layout data; and outputting an association result.
    Type: Grant
    Filed: September 28, 2010
    Date of Patent: November 12, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Kenichi Ushiyama, Shigenori Ichinose, Kenji Suzuki, Kenji Kumagai, Takafumi Miyahara, Shuji Tanahashi, Hideto Fukuda
  • Patent number: 8578318
    Abstract: In one embodiment, a method for implementing a circuit design for an integrated circuit includes: (a) obtaining a first wiring to satisfy a given operating frequency; (b) calculating a maximum bypass wiring length based on the given operating frequency and a critical path of the first wiring; (c) obtaining a second wiring by bypassing the first wiring using wires other than wires of the first wiring in a first wiring group, wherein wiring of the integrated circuit is categorized into a plurality of wiring groups, and the first wiring is included in the first wiring group of the categorized wiring groups; and (d) replacing the first wiring with the second wiring, if a difference between the second wiring and the first wiring is not larger than the maximum bypass wiring length, and not replacing the first wiring if said difference is larger than the maximum bypass wiring length.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: November 5, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kumiko Nomura, Shinichi Yasuda, Shinobu Fujita, Keiko Abe, Tetsufumi Tanamoto, Kazutaka Ikegami, Masato Oda
  • Patent number: 8578321
    Abstract: Systems and techniques for optimizing a circuit design are described. When a selected gate is transformed during optimization, it causes a slack value at a pin of the transformed gate to change. The change in the slack value, called the delta-slack, is then propagated through a transitive fanin cone and a transitive fanout cone of the transformed gate to compute the new slack values at all the affected pins of the design. Some embodiments update slack values without propagating arrival and required times, and also without repeatedly evaluating timing arcs to compute gate delays. The updated slack values can be used to compute timing metrics. The timing metrics can be used to decide whether or not to commit the gate transformation to the circuit design.
    Type: Grant
    Filed: May 29, 2012
    Date of Patent: November 5, 2013
    Assignee: Synopsys, Inc.
    Inventors: Mahesh A. Iyer, Robert L. Walker
  • Patent number: 8578317
    Abstract: Disclosed herein are rouging methods and devices for a flip-chip package. The flip chip includes several outer pads and several inner pads. The routing method includes: setting an outer sequence based on the arrangement order of the outer pads; setting several inner sequences based on the connection relationships between inner pads and the outer pads; calculating the longest common subsequence of each inner sequence and the outer sequence, defining the connection relationships between the inner pads and the outer pads corresponding to the longest common subsequence as direct connections, and defining the connection relationships between the inner pads and the outer pads that do not correspond to the longest common subsequence as detour connections; establishing the routing scheme of the flip chip based on the connection relationships between the inner pads and the outer pads.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: November 5, 2013
    Assignee: Synopsys, Inc.
    Inventors: Chen-Feng Chang, Chin-Fang Shen, Hsien-Shih Chiu, I-Jye Lin, Tien-Chang Hsu, Yao-Wen Chang, Chun-Wei Lin, Po-Wei Lee
  • Patent number: 8572543
    Abstract: A method for designing an integrated circuit is disclosed. The method generally comprises the steps of (A) splitting a design layout of the integrated circuit into a plurality of tiles, (B) adding a plurality of tie-to cells to the design layout, wherein at least one of the tie-to cells generating a tie-to signal at a particular logical level is added into each of the tiles having at least one gate with an input fixed to the particular logical level and (C) routing the tie-to signal to each of the inputs within each of the tiles.
    Type: Grant
    Filed: April 9, 2012
    Date of Patent: October 29, 2013
    Assignee: LSI Corporation
    Inventors: Juergen Dirks, Matthias Dinter, Ralf Leuchter
  • Patent number: 8566771
    Abstract: A computer identifies a metal layer, in a design, which contains routing track segregated by blockages. The sections of segregated routing track are removed and new routing track are added along the periphery of the blockage. It is determined if contact can be created between the component and the new routing track with the addition of a vertical interconnect access (VIA) structure. If contact can be created, then the VIA structures are added to create contact. If no contact can be created then another new routing track is added with (VIA) structures such that contact is created. Further routing track and VIA structures are added to higher metal layers to form a connection between a routing terminus located on a top metal layer and the new routing track and component.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: October 22, 2013
    Assignee: International Business Machines Corporation
    Inventors: Andreas Arp, Florian Braun, Guenther Hutzl, Michael V. Koch, Matthias Ringe
  • Patent number: 8561002
    Abstract: Multiple level spine routing is disclosed. In some embodiments, in response to receiving a specification of a net comprising a set of pins, a first wire for routing the net is generated, the set of pins comprising the net is partitioned into one or more groups based at least in part on a cost function, a second wire that connects to the first wire is generated for each group of the net, and a third wire that connects each pin to the second wire of its group is generated for each pin of each group of the net.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: October 15, 2013
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 8561001
    Abstract: Systems and methods are disclosed for testing dies in a stack of dies and inserting a repair circuit which, when enabled, compensates for a delay defect in the die stack. Intra-die and inter-die slack values are determined to establish which die or dies in the die stack would benefit from the insertion of a repair circuit.
    Type: Grant
    Filed: July 11, 2012
    Date of Patent: October 15, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Sandeep Kumar Goel
  • Patent number: 8561000
    Abstract: Multiple level spine routing is disclosed. In some embodiments, in response to receiving a specification of a plurality of nets and a specification of a set of routing tracks available for main spines, a main spine routing track is assigned to each of the plurality of nets based at least in part on a cost function and main spine wires are generated on the assigned main spine routing tracks for each of the plurality of nets.
    Type: Grant
    Filed: November 4, 2011
    Date of Patent: October 15, 2013
    Assignees: Synopsys Taiwan Co., Ltd., Synopsys, Inc.
    Inventors: Fong-Yuan Chang, Wei-Shun Chuang, Sheng-Hsiung Chen, Hsian-Ho Chang, Ruey-Shi Rau
  • Patent number: 8555218
    Abstract: An abstract decision module primitive for placement within a logical representation (i.e., a netlist) of a circuit design is described. The decision module primitive receives as inputs alternative solutions for a given function or segment of a netlist. The alternative solutions include functionally equivalent, but structurally different implementations of the function or segment of the netlist. The decision module primitive alternatively selects between connecting one of the inputs to the netlist to provide a complete functional definition for the netlist based on constraint information. The selected input of the decision module may be updated as additional constraint information is determined throughout the various stages of the design process. In addition, alternative solutions for a given function or segment of the netlist may be added to and/or removed from the inputs of a decision module as additional constraint information is identified.
    Type: Grant
    Filed: May 22, 2009
    Date of Patent: October 8, 2013
    Assignee: Tabula, Inc.
    Inventors: Andrew Caldwell, Steven Teig
  • Patent number: 8555230
    Abstract: According to an embodiment an improved Application Specific Integrated Circuit (ASIC) isolation method and system for assigning signal pins in an ASIC package having a plurality of signal pins is disclosed. The method and system comprise identifying an isolation requirement of the ASIC and determining an optimized pattern for substantially diagonal pairing of signal pins in relation to the isolation requirement. The method includes pairing signal pairs substantially diagonally in accordance with the pattern.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: October 8, 2013
    Assignee: The Boeing Company
    Inventor: Louis Catuogno
  • Patent number: 8555232
    Abstract: Systems and methods for wire routing using virtual landing pads (VLPs) are described. In an embodiment, a method includes routing a wiring path between an output of a first circuit component and a VLP that represents an input of a second circuit component. For example, the VLP may have an area larger than the area of a physical pin of the second circuit component. The method may also include identifying a connection point on the VLP that is separated from an actual terminal of the second circuit, and completing the path between the connection point and the actual terminal. In some embodiments, the output of the first circuit component may also be represented by its own VLP. As such, systems and methods described herein may allow a circuit designer to perform routing procedures in a complex, highly integrated circuit, while reducing the circuit's overall capacitance and associated power consumption.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: October 8, 2013
    Assignee: Apple Inc.
    Inventors: Suparn Vats, Gaurav Shrivastav
  • Patent number: 8549461
    Abstract: A logically hierarchical netlist may be split along physical partition boundaries while retaining information on the logical hierarchy. Nets can be driven to higher levels of hierarchy in order to maintain connectivity and enable the original logical function. A mapping of nets can be created. During the design process merging of physical partitions may result in a new logically hierarchical netlist which retains the hierarchy of the original logically hierarchical netlist. The lowest common hierarchical ancestor (LCA) is identified and then the appropriate cells and nets are included during the merging process.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: October 1, 2013
    Assignee: Synopsys, Inc.
    Inventors: Eduard Petrus Huijbregts, Avijit Dey
  • Patent number: 8549459
    Abstract: In one embodiment of the invention, an object oriented autorouter is disclosed for routing nets in a circuit. The object oriented autorouter includes a routing data model (RDM); at least one routing engine, such as a single connection router (SCR), a topographical (TOPO) transformation engine, and a detail geometric (DETAIL) engine, and a command and control module (CCM) coupled together. The RDM reads and write data with a design database as well as reading one or more object oriented design constraints. Each of the routing engines have at least one action to operate on the design database to improve compliance of the circuit to a constraint. The CCM controls the overall routing process of the nets in the circuit and includes at least one director to invoke at least one of the routing engines to achieve compliance with one or more constraints.
    Type: Grant
    Filed: July 13, 2010
    Date of Patent: October 1, 2013
    Assignee: Cadence Design Systems, Inc.
    Inventors: Ken Wadland, Sean Bergan, Charles W. Grant, Glendine Kingsbury, Randall Lawson, Jelena Radumilo-Frankilin, Kota Sujan Reddy, Steve Russo, William Schilp, Davis Tsai, Keith Woodward, Richard Woodward, Jia Wu
  • Patent number: 8543957
    Abstract: An optical network design apparatus includes a memory and a processor. The memory stores a connection limit corresponding to the number of connections between ports. The processor provisionally designs a traffic path across an optical network independently of a connection limit of an asymmetric optical hub, calculates a penalty allowance with respect to the penalty limit of the traffic path, calculates an additional penalty caused on a detour path derived by replacing a port with a replacement port in the asymmetric optical hub, and if an asymmetric optical hub is included in the detour path, generates asymmetric optical hub information about the included asymmetric optical hub, generates, based on the connection limit, penalty allowance, additional penalty, and asymmetric optical hub information, a constraint condition for adopting the traffic path satisfying the connection limit and penalty limit, and calculates the traffic path by mathematical programming under the constraint condition.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: September 24, 2013
    Assignee: Fujitsu Limited
    Inventors: Yutaka Takita, Tomohiro Hashiguchi, Kazuyuki Tajima
  • Patent number: 8539412
    Abstract: A macro layout verification apparatus for verifying a layout of a macro to be placed as a functional block on a semiconductor device. The apparatus includes: a unit, which assumes as a virtual wiring line, a wiring line that uses an unused intra-macro channel located adjacent to an intra-macro wiring line; a unit which calculates a parallel wiring length along which the virtual wiring line and the intra-macro wiring line run; and a unit which outputs information concerning the virtual wiring line when the parallel wiring length exceeds a reference value defined as a design rule.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: September 17, 2013
    Assignee: Fujitsu Limited
    Inventors: Masashi Arayama, Sumiko Makino
  • Patent number: 8539432
    Abstract: A computer-readable recording medium stores a program that causes a computer to execute a circuit design process. The process includes selecting component data in first board data from among the first board data including first connector component data and second board data including second connector component data that is associated with the first connector component data; setting a connection destination net name of the selected component data to a first vacant terminal of the first connector component data; and setting the connection destination net name of the component data to a second vacant terminal of the second connector component data that corresponds to the first vacant terminal of the first connector data when the component data is moved from the first board data to the second board data.
    Type: Grant
    Filed: August 22, 2012
    Date of Patent: September 17, 2013
    Assignee: Fujitsu Limited
    Inventor: Takahiko Orita
  • Patent number: 8533651
    Abstract: An approach for providing conversion of a planar design to a FinFET design is disclosed. Embodiments include: receiving a planar design having a plurality of diffusion regions; overlapping a plurality of parallel fin mandrels with a plurality of evenly-spaced parallel lines of a grid; snapping the diffusion regions to the grid based on the parallel lines; and generating a FinFET design based on the overlapping and the snapping. Embodiments include the parallel lines and the parallel fin mandrels being perpendicular to a poly orientation associated with the planar design, and determining a spacing length between the parallel lines; determining a plurality of edges of the diffusion regions that are parallel to the poly orientation; and cropping the diffusion regions until each of the edges has a length that is a multiple of the spacing length.
    Type: Grant
    Filed: July 18, 2012
    Date of Patent: September 10, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Soon Yoeng Tan, Angeline Ho, Hendry Renaldo, Andreas Knorr, Scott Johnson
  • Patent number: 8533652
    Abstract: A method for designing a system to be implemented on a target device includes generating bounding boxes on the target device for nets in the system where a bounding box identifies routing resources available for routing its corresponding net. The nets in the system are assigned to a plurality of threads to be routed. The threads are executed so that a plurality of the nets are routed in parallel within their corresponding bounding box.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: September 10, 2013
    Assignee: Altera Corporation
    Inventors: Vaughn Betz, Jordan Swartz, Vadim Gouterman
  • Publication number: 20130232461
    Abstract: A design supporting device includes a calculator that calculates an estimated value of a width, shifted by etching, of a wiring arranged in each of partial regions formed by dividing a layout region of a circuit to be designed on basis of a density of the wiring of the partial region, a length of a circumference of the wiring and a distance between the partial region and another partial region affecting the partial region, and uses the calculated estimated value to recalculate the density of the wiring of the partial regions, and a changer that changes the density of the wiring on basis of relationships between a recalculated density of the wiring and a preset threshold for the density.
    Type: Application
    Filed: February 27, 2013
    Publication date: September 5, 2013
    Applicant: FUJITSU LIMITED
    Inventor: Daisuke Fukuda
  • Patent number: 8527930
    Abstract: Some embodiments of the present invention provide systems for generating and using a route fix guidance for fixing design rule violations. A route fix guidance includes information that enables a router to locally modify a routing solution to fix one or more design rule violations. A route fix guidance can include a set of two or more metal avoidance areas, wherein avoiding any one of the set of two or more metal avoidance areas during routing fixes the design rule violation. Additionally, a route fix guidance can specify a set of rectangles to remove from a routing solution, and a set of rectangles to insert into or add to a routing solution. Further, the route fix guidance can include information for moving one or more vias to new locations in the routing solution. The route fix guidance can specify a sequence in which the local modifications are to be made.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: September 3, 2013
    Assignee: Synopsys, Inc.
    Inventors: Linni Wen, Tong Gao
  • Patent number: 8522185
    Abstract: Approaches for placement and routing of a circuit design are disclosed. Two or more modules of a circuit design are assigned to respective regions of a programmable integrated circuit. Placement and routing constraints are created for non-global resources of two or more modules of the circuit design. The placement and routing constraints restrict placement and routing of non-global resources of each of the two or more modules to respective regions of a programmable IC. Each non-global resource is used by at most one of the two or more modules. The two or more modules are placed. In response to the one of the placed circuit elements not being placed within the assigned region, the routing constraint on the one of the circuit elements is removed. The circuit design is routed.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: August 27, 2013
    Assignee: Xilinx, Inc.
    Inventor: Robert M. Balzli, Jr.
  • Patent number: 8522186
    Abstract: A computer-implemented method for interconnect redundancy of a circuit design comprises the steps of setting Manhattan distance being less than or equal to three pitches; placing a plurality of dummy micro bumps on at least one side of a die including a signal bump formed on the at least one side; determining an interconnecting candidate by selecting from the dummy micro bumps, which is distant from the signal bump by the Manhattan distance; and providing a routing path between the at least one interconnecting candidate and the signal bump.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: August 27, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Chang Tzu Lin, Ding Ming Kwai
  • Patent number: 8522184
    Abstract: A method for implementing electronic circuit modules on elongated structures of semiconducting materials such as carbon nanotubes, graphene nanoribbons, elongated structures of semiconducting polymers or organic semiconductors, other related materials, and printed electronics strip structures is disclosed. The method provides that a plurality of modules can be implemented on distinct adjacent portions of the same elongated structure of semiconducting materials. In powering the modules, each circuit comprises a chain of electronic components arranged so that each end of the chain can function as a power supply terminal. Larger electronic circuit modules can be created from smaller module, and such a modular hierarchy may be extended to an arbitrary number of levels. In a Computer Aided Design (CAD) applications for nanoelectronics and printed electronics, designs for hierarchies electronic circuit modules can be stored and retrieved from one or more a libraries of circuit designs.
    Type: Grant
    Filed: May 24, 2011
    Date of Patent: August 27, 2013
    Assignee: Pike Group LLC
    Inventor: Lester F. Ludwig
  • Patent number: 8516427
    Abstract: The exemplary embodiments provide a program binder for a resilient integrated circuit. An exemplary IC comprises a plurality of composite circuit elements, a state machine element (SME), and a plurality of communication elements. Each composite circuit element comprises an element interface and a selected circuit element which may vary by element type, and which may be configurable. An exemplary program binding method includes assigning a first action to a first computational element having a first type; assigning a second action to a second computational element having a second type; and establishing a first data routing, through a selected communication element, between the first computational element and the second computational element. In the event of detection of a fault with a composite circuit element or a communication element, the various actions may be re-assigned and new data routings established.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: August 20, 2013
    Assignee: Element CXI, LLC
    Inventor: Steven Hennick Kelem
  • Patent number: 8516425
    Abstract: A system and method are provided for reducing signal skew. The method includes receiving a netlist having components and connections among the components. Each connection has at least one signal wire. A plurality of net groups is identified, each net group including at least some of the connections and for which equivalent routing is desired. For each net group, the method includes systematically routing connection paths between the components for the connections, each connection path extending between an output of one of the components and an input to at least one other of the components and including at least one path fragment. Routing includes, for at least one of the connections of the net group, routing at least one grounded shielding wire in a routing channel adjacent and parallel to at least one of the path fragments of the connection path.
    Type: Grant
    Filed: July 9, 2012
    Date of Patent: August 20, 2013
    Assignee: LSI Corporation
    Inventors: Andrey Nikitin, Ranko Scepanovic, Igor Kucherenko, William Lau, Cheng-Gang Kong, Hui-Yin Seto, Andrej Zolotykih, Ivan Pavisic, Sandeep Bhutani, Aiguo Lu, Ilya Lyalin
  • Patent number: 8510702
    Abstract: An automated system, and method of operating the same, for interactively routing interconnections in a layout of an integrated circuit. Interconnections among subchips in the integrated circuit, specified by a netlist, are displayed by the system by way of airlines. The system provides a symbolic view of the bus, showing a representative wire of the bus, such as that associated with the least-significant or most-significant bit position in the bus. The physical routing of the representative wire is interactively defined, using orthogonal wire segments in selected conductor levels. Bus properties, for example including bit pitch, wire pitch, LSB/MSB, and a direction of expansion, are associated with the routing data for each segment of the representative wire. The combination of the routing data and the bus property data enable building of the entire bus from the interactive routing of the representative wire in the symbolic view.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: August 13, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Patrick W. Bosshart
  • Patent number: 8504972
    Abstract: An integrated circuit layout includes a standard cell, which includes a first gate strip and a second gate strip parallel to each other and having a gate pitch; a first boundary and a second boundary on opposite ends of the first standard cell; and a third boundary and a fourth boundary on opposite ends of the first standard cell and parallel to the first gate strip and the second gate strip. A cell pitch between the third boundary and the fourth boundary is not equal to integer times the gate pitch. A PMOS transistor is formed of the first gate strip and a first active region. An NMOS transistor is formed of the first gate strip and a second active region.
    Type: Grant
    Filed: February 1, 2010
    Date of Patent: August 6, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yung-Chin Hou, David Barry Scott, Lee-Chung Lu, Li-Chun Tien
  • Patent number: 8504977
    Abstract: A method of generating electrical rule file for circuit board by using an electronic device. The electronic device acquires a component file, a wiring file, a wiring group file, a first electrical rule file, and a second electrical rule file from a storage device. The electronic device integrates the component file and the wiring file to be an integrated file according to wire names, acquires group names and inserts the group names into the integrated file according to the wire names, acquires first electrical rules and inserts the first electrical rules into the integrated file according to the group names, acquires second electrical rules and inserts the second electrical rules into the integrated file according to the group names, to complete the integrated file, and saves the completed file to the storage device.
    Type: Grant
    Filed: February 28, 2013
    Date of Patent: August 6, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventors: Shin-Ting Yen, Chun-Neng Liao, Cheng-Hsien Lee
  • Patent number: 8499259
    Abstract: A polishing estimation/evaluation device includes a dividing unit, an overpolished area extracting unit, and a dummy modifying unit. The dividing unit divides a layout of an integrated circuit into a plurality of partial areas. The overpolished area extracting unit refers to an overpolishing condition indicating whether overpolishing occurs in a vicinity of a partial area based on a wiring density in the partial area and a wiring density in surrounding areas of the partial area, and extracts a partial area where the overpolishing occurs from the plurality of partial areas obtained by the division by the dividing unit. The dummy modifying unit modifies dummy wiring in the partial area where the overpolishing occurs extracted by the overpolished area extracting unit and/or dummy wiring in surrounding areas of the partial area to reduce the number of partial areas where the overpolishing occurs.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: July 30, 2013
    Assignee: Fujitsu Limited
    Inventor: Daisuke Fukuda
  • Patent number: 8499271
    Abstract: High density circuit modules are formed by stacking integrated circuit (IC) chips one above another. Unused input/output (I/O) locations on some of the chips can be used to connect other I/O locations, resulting in decreased impedance between the chips. Additional apparatus, systems, and methods are disclosed.
    Type: Grant
    Filed: August 8, 2012
    Date of Patent: July 30, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Silvestri