Design Of Semiconductor Mask Or Reticle Patents (Class 716/50)
  • Patent number: 9836556
    Abstract: Aspects of the disclosed technology relate to techniques of optical proximity correction for directed self-assembly guiding patterns. An initial mask pattern for photomask fabrication is first generated by performing a plurality of conventional optical proximity correction iterations. Predicted print errors for two or more via-type features are then determined based on a predicted guiding pattern for the two or more via-type features, a target guiding pattern for the two or more via-type features, and correlation information between a plurality of guiding pattern parameters and location and size parameters for the two or more via-type features. Here the predicted guiding pattern is derived based on the initial mask pattern. Based on the predicted print errors and the correlation information, the initial mask pattern is adjusted to generate a new mask pattern.
    Type: Grant
    Filed: March 30, 2016
    Date of Patent: December 5, 2017
    Assignee: Mentor Graphics Corporation
    Inventors: Junjiang Lei, Le Hong, Yuansheng Ma
  • Patent number: 9766539
    Abstract: A method which determines patterns for a plurality of masks to be executed by a processor includes acquiring data on a pattern containing a plurality of pattern elements, and assigning the acquired plurality of pattern elements into masks, decomposing the acquired plurality of pattern elements into patterns of the masks, and calculating an evaluation value for an evaluation index, based on a number of masks, the distances between a plurality of pattern elements in each mask, and an angle of a line connecting a plurality of pattern elements in each mask. In the method, a pattern of each mask is determined based on the calculated evaluation value.
    Type: Grant
    Filed: February 19, 2014
    Date of Patent: September 19, 2017
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Ryo Nakayama, Yuichi Gyoda
  • Patent number: 9711372
    Abstract: In some embodiments, the disclosure relates to a method of forming an integrated circuit device. The method is performed by forming a first mask layer over a substrate and a second mask layer over the first mask layer. The second mask layer is patterned to form cut regions. A mandrel is formed over the first mask layer and the cut regions, and the first mask layer is etched using the mandrel form a patterned first mask. The substrate is etched according to the patterned first mask and the cut regions to form trenches in the substrate, and the trenches are filled with conductive metal to form conductive lines.
    Type: Grant
    Filed: November 9, 2015
    Date of Patent: July 18, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Ying Lee, Jyu-Horng Shieh
  • Patent number: 9690187
    Abstract: Methods for selecting the best measurement sites for OPC model calibration are disclosed. Embodiments include selecting a predetermined number, n, of structures representing an IC design layout eligible for SEM measurement; specifying an image parameter space of image parameters for the n structures; optimizing a redundancy in the image parameter space of measurement sites for the n structures; and calibrating an OPC model for the IC design layout based on the optimized redundancy.
    Type: Grant
    Filed: April 3, 2015
    Date of Patent: June 27, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventor: Francois Weisbuch
  • Patent number: 9672316
    Abstract: Integrated circuits are manufactured using a direct write lithography step to at least partially form at least one layer within the integrated circuit. The performance characteristics of an at least partially formed integrated circuit are measured and then the layout design to be applied with a direct write lithography step is varied in dependence upon those performance characteristics. Accordingly, the performance of an individual integrated circuit, wafer of integrated circuits or batch of wafers may be altered.
    Type: Grant
    Filed: July 17, 2013
    Date of Patent: June 6, 2017
    Assignee: ARM Limited
    Inventor: Gregory Munson Yeric
  • Patent number: 9646373
    Abstract: A method for counterfeit IC detection includes: providing a computer, an optical and an X-ray imager; optically imaging a package of one or more ICs; pattern matching the package image to identify an IC type; selecting one or more reference images from a reference library; X-ray imaging one or more ICs; performing in any order: comparing an internal lead frame structure of the one or more ICs to images from the reference library to determine a first numerical indicator; and determining a composition of the lead frame of the one or more ICs and to a corresponding composition from the reference library to determine a second numerical indicator; calculating an indication of authenticity based on the first numerical indicator and the second numerical indicator; and accepting or rejecting the one or more ICs based on the indication of authenticity. A system for counterfeit IC detection is also described.
    Type: Grant
    Filed: September 17, 2014
    Date of Patent: May 9, 2017
    Assignee: IEC Electronics Corp.
    Inventors: Achilleas Tziazas, Mark Northrup, Daniel F. Martinelli
  • Patent number: 9640480
    Abstract: A MOS device includes first, second, third, and fourth interconnects. The first interconnect extends on a first track in a first direction. The first interconnect is configured in a metal layer. The second interconnect extends on the first track in the first direction. The second interconnect is configured in the metal layer. The third interconnect extends on a second track in the first direction. The third interconnect is configured in the metal layer. The second track is parallel to the first track. The third interconnect is coupled to the second interconnect. The second and third interconnects are configured to provide a first signal. The fourth interconnect extends on the second track in the first direction. The fourth interconnect is configured in the metal layer. The fourth interconnect is coupled to the first interconnect. The first and fourth interconnects are configured to provide a second signal different than the first signal.
    Type: Grant
    Filed: May 27, 2015
    Date of Patent: May 2, 2017
    Assignee: QUALCOMM Incorporated
    Inventors: Mukul Gupta, Xiangdong Chen, Ohsang Kwon
  • Patent number: 9626906
    Abstract: Disclosed is an organic light emitting display in which a sensing period during which the source voltage of the driving TFT is raised toward a data voltage applied to a gate electrode of the driving TFT in order to compensate a change in mobility of the driving TFT, a first gate signal is maintained at an ON level and a second gate signal is maintained at an OFF level, and the first and second gate signals are maintained at an OFF level in a light emission period following the sensing period; and a first falling time of the first gate signal and a second falling time of the second gate signal, which indicate a period of time required to change from the ON level to the OFF level, are set to be longer than a predetermined reference value, respectively.
    Type: Grant
    Filed: December 2, 2014
    Date of Patent: April 18, 2017
    Assignee: LG DISPLAY CO., LTD.
    Inventors: Dongik Kim, Kiwon Son
  • Patent number: 9612530
    Abstract: A method and system for fracturing or mask data preparation are presented in which a set of shots is determined for a multi-beam charged particle beam writer. The edge slope of a pattern formed by the set of shots is calculated. An edge of the pattern which has an edge slope below a target level is identified, and the dosage of a beamlet in a shot in the set of shots is increased to improve the edge slope. The improved edge slope remains less than the target level.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: April 4, 2017
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Kazuyuki Hagiwara, Stephen F. Meier, Ingo Bork
  • Patent number: 9599575
    Abstract: A system for generating calibration information usable for wafer inspection, the system including: (I) a displacement analysis module, configured to: (a) calculate a displacement for each target out of multiple targets selected in multiple scanned frames which are included in a scanned area of the wafer, the calculating based on a correlation of: (i) an image associated with the respective target which was obtained during a scanning of the wafer, and (ii) design data corresponding to the image; and (b) determining a displacement for each of the multiple scanned frames, the determining based on the displacements calculated for multiple targets in the respective scanned frame; and (II) a subsequent processing module, configured to generate calibration information including the displacements determined for the multiple scanned frames, and a target database that includes target image and location information of each target of a group of database targets.
    Type: Grant
    Filed: February 7, 2012
    Date of Patent: March 21, 2017
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Zvi Goren, Nir Ben-David Dodzin
  • Patent number: 9582629
    Abstract: At least one method disclosed herein involves creating an overall pattern layout for an integrated circuit that is to be manufactured using a self-aligned double patterning (SADP) process, forming a first metal feature having a first width on a first track of a metal layer using the SADP process, forming a second metal feature having a second width on a second track of the metal layer. The second track is adjacent to the first track. The method also includes forming an electrical connection between the first metal feature and the second metal feature to provide an effectively single metal pattern having a third width that is the sum of the first and second widths, rendering the first and second features decomposable using the SADP process; and decomposing the overall pattern layout with the first and second metal features into a mandrel mask pattern and a block mask pattern.
    Type: Grant
    Filed: April 4, 2014
    Date of Patent: February 28, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Lei Yuan, Li Yang, Jongwook Kye
  • Patent number: 9569578
    Abstract: A computer implemented method of mask decomposition and optimization for directed self assembly (DSA) which includes: inputting design information of an integrated circuit that is to be patterned using a DSA process; mapping the design information into a tree graph comprising nodes and edges; searching the tree graph to identify a longest path through the tree graph; identifying a branch comprising an edge on the tree graph not on the longest path and stemming from one of the nodes on the longest path; outputting the one node on the longest path that connects to the branch as a hot spot; and modifying a photomask by removing the branch from the photomask; wherein the method is performed by one or more computing devices.
    Type: Grant
    Filed: December 14, 2015
    Date of Patent: February 14, 2017
    Assignee: International Business Machines Corporation
    Inventors: Kafai Lai, Rasit O. Topaloglu
  • Patent number: 9558545
    Abstract: Systems and methods for predicting and controlling pattern quality data (e.g., critical dimension and/or pattern defectivity) in patterned wafers using patterned wafer geometry (PWG) measurements are disclosed. Correlations between PWG measurements and pattern quality data measurements may be established, and the established correlations may be utilized to provide pattern quality data predictions for a given wafer based on geometry measurements obtained for the give wafer. The predictions produced may be provided to a lithography tool, which may utilize the predictions to correct focus and/or title errors that may occur during the lithography process.
    Type: Grant
    Filed: June 4, 2015
    Date of Patent: January 31, 2017
    Assignee: KLA-Tencor Corporation
    Inventors: Pradeep Vukkadala, Sathish Veeraraghavan, Soham Dey, Jaydeep Sinha
  • Patent number: 9514262
    Abstract: A programmable analog tile integrated circuit is configured over a standardized bus by communicating tile configuration information from a first integrated circuit tile, through a second integrated circuit tile, to a third integrated circuit tile. Each of the three integrated circuit tiles is part of an integrated circuit. The standardized bus is formed when the tiles are placed adjacent one another. Data bus and control signal conductors of the adjacent tiles line up and interconnect such that each signal conductor is electrically connected to every tile. Tile configuration information may be written to a selected register identified by an address in any selected one of the tiles using the data bus and control lines, regardless of the relative physical locations of the tile sending and the tile receiving the information. Thus, tile configuration information may pass from one tile to another tile, through any number of intermediate tiles.
    Type: Grant
    Filed: March 27, 2015
    Date of Patent: December 6, 2016
    Assignee: Active-Semi, Inc.
    Inventors: Steven Huynh, Matthew A. Grant, Gary M. Hurtz, David J. Kunst, Trey A. Roessig
  • Patent number: 9489479
    Abstract: A computer-implemented method for obtaining values of one or more design variables of one or more design rules for a pattern transfer process comprising a lithographic projection apparatus, the method comprising: simultaneously optimizing one or more design variables of the pattern transfer process and the one or more design variables of the one or more design rules. The optimizing comprises evaluating a cost function that measures a metric characteristic of the pattern transfer process, the cost function being a function of one or more design variables of the pattern transfer process and one or more design variables of the one or more design rules.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: November 8, 2016
    Assignee: ASML NETHERLANDS B.V.
    Inventor: Xiaofeng Liu
  • Patent number: 9443055
    Abstract: Methods for retargeting a circuit design layout for a multiple patterning lithography process and for fabricating a semiconductor device are provided. In an exemplary embodiment, a computer-executed method for retargeting a circuit design layout for a multiple patterning lithography process is provided. The method includes decomposing a circuit design layout file to produce decomposed layout files in a computer. Each decomposed layout file is associated with a respective mask for use in the multiple patterning lithography process. The method includes preparing retargeted layout files in the computer by retargeting selected decomposed layout files based on photolithography limitations specific to each selected decomposed layout file to produce retargeted layout files. Also, the method includes determining in the computer that a combination of layout files includes a spacing conflict.
    Type: Grant
    Filed: April 29, 2015
    Date of Patent: September 13, 2016
    Assignee: GLOBALFOUNDRIES, INC.
    Inventors: Ayman Hamouda, Chidam Kallingal, Norman Chen
  • Patent number: 9405185
    Abstract: A method of manufacturing a photomask includes forming a mask pattern with a critical mask feature on a photomask. Shape information which is descriptive for an outline of the critical mask feature is obtained from the photomask. The shape information contains position information identifying the positions of landmarks on the outline relative to each other. The landmarks may indicate local curvature extrema, points of inflexion, sharp bends in the curvature and/or local curvature-change maxima in the outline of the mask feature, respectively. The shape information may enable a shape metrology which is not completely based on rectangular approximations of mask features.
    Type: Grant
    Filed: April 7, 2014
    Date of Patent: August 2, 2016
    Assignee: Advanced Mask Technology Center GmbH & Co. KG
    Inventors: Clemens Utzny, Markus Bender, Christian Buergel, Albrecht Ullrich
  • Patent number: 9400857
    Abstract: A method for mask data preparation (MDP) is disclosed, in which a set of shots is determined that will form a pattern on a reticle, where the determination includes calculating the pattern that will be formed on a substrate using an optical lithographic process with a reticle formed using the set of shots. A method for optical proximity correction (OPC) or MDP is also disclosed, in which a preliminary set of charged particle beam shots is generated using a preliminary mask model, and then the shots are modified by calculating both a reticle pattern using a final mask model, and a resulting substrate pattern. A method for OPC is also disclosed, in which an ideal pattern for a photomask is calculated from a desired substrate pattern, where the model used in the calculation includes only optical lithography effects and/or substrate processing effects.
    Type: Grant
    Filed: April 15, 2013
    Date of Patent: July 26, 2016
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Anatoly Aadamov, Eldar Khaliullin, Ingo Bork
  • Patent number: 9372955
    Abstract: Methods and systems for implementing repetitive track patterns for electronic designs are disclosed. The method determines a track pattern within a period and repeats the track pattern for a number of times to form repetitive track patterns. Compliance with photomask designation design rules and track pattern design rules by both the track pattern and the repetitive track patterns is maintained by adding one or more intermediate tracks. A track may be added or removed from the track pattern or replaced by another track associated with a different width by using one or more intermediate tracks. The method may validate a period and replace an invalid period with a valid period. During the identification of the tracks in a track pattern for constructing repetitive track patterns, the method also forward predicts a predetermined number of tracks or predicts one or more tracks for a predetermined distance.
    Type: Grant
    Filed: May 30, 2014
    Date of Patent: June 21, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventors: Yinnie Lee, Jeffrey Markham, Roland Ruehl, Karun Sharma
  • Patent number: 9298870
    Abstract: Methods and computer program products for designing topographic patterns for directing the formation of self-assembled domains at specified locations on substrates. The methods include generating mathematical models that operate on mathematical descriptions of the number and locations of cylindrical self-assembled domains in a mathematical description of a guiding pattern.
    Type: Grant
    Filed: May 16, 2012
    Date of Patent: March 29, 2016
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Kafai Lai, Chi-Chun Liu, Jed W. Pitera, Charles T. Rettner
  • Patent number: 9292627
    Abstract: The present invention provides a method for compensating infidelities of a process that transfers a pattern to a layer of an integrated circuit, by minimizing, with respect to a photomask pattern, a cost function that quantifies the deviation between designed and simulated values of circuit parameters of the pattern formed on a semiconductor wafer.
    Type: Grant
    Filed: October 28, 2013
    Date of Patent: March 22, 2016
    Assignee: Cadence Design Systems, Inc.
    Inventors: Dipankar Pramanik, Michiel Victor Paul Kruger, Roy V. Prasad, Abdurrahman Sezginer
  • Patent number: 9235676
    Abstract: Some embodiments of the present disclosure provide an integrated circuit (IC) design method. The method includes (1) receiving a first layout comprising stripe patterns with a first separation and a first width; (2) receiving a second layout comprising stripe patterns with a second width narrower than the first separation, each stripe on the second layout is configured to situate between two adjacent stripes on the first layout when overlaying the first layout and the second layout; (3) performing a separation check by identifying a spacing between a stripe on the second layout and one of the two adjacent stripes on the first layout; and (4) adjusting the spacing between the stripe on the second layout and one of the two adjacent stripes on the first layout when the separation check determining the spacing is greater than a predetermined value.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: January 12, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Chuan-Fang Su, Chih-Chun Hsu, Hsing-Wang Chen, Rung-Shiang Chen, Ching-Juinn Huang
  • Patent number: 9170481
    Abstract: A design layout for a semiconductor chip includes information on shapes desired to be fabricated. Clusters of photolithographic exposure “shots” are generated and subject to a measure of shot density to approximate a mask shape that generates the desired fabricated shapes when exposed during wafer fabrication. A simulation is run on the clusters of shots to estimate the resulting fabrication shapes that the clusters of shots create. The clusters of shots are modified to align the estimated fabrication shapes more closely with desired fabrication shapes. The process of simulating and modifying the shots is iterative, repeating until the estimated fabrication shapes are within a desired error difference of the planned fabrication shape.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: October 27, 2015
    Assignee: Synopsys, Inc.
    Inventors: Michael Lawrence Rieger, Thomas Christopher Cecil, Benjamin David Painter
  • Patent number: 9158876
    Abstract: In one embodiment, a computer-implemented method includes accessing mask input data. The mask input data includes a mathematical representation of a mask in a mask representation space, where the mask is configured to create an integrated circuit microprocessor. A set of values is obtained based on a derivative of the mask input data. The set of values is optimized, by a computer processor, in a derivative domain to obtain optimized mask data. The optimized mask data is transformed into the mask representation space to obtain printable mask output data.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: October 13, 2015
    Assignee: International Business Machines Corporation
    Inventors: Stefan Apostol, Paul Hurley, Radu-Christian Ionescu
  • Patent number: 9134254
    Abstract: Systems and methods for determining a position of output of an inspection system in design data space are provided. One method includes merging more than one feature in design data for a wafer into a single feature that has a periphery that encompasses all of the features that are merged. The method also includes storing information for the single feature without the design data for the features that are merged. The information includes a position of the single feature in design data space. The method further includes aligning output of an inspection system for the wafer to the information for the single feature such that positions of the output in the design data space can be determined based on the position of the single feature in the design data space.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: September 15, 2015
    Assignee: KLA-Tencor Corp.
    Inventor: Vijayakumar Ramachandran
  • Patent number: 9034542
    Abstract: In a method for fracturing or mask data preparation or mask process correction for charged particle beam lithography, a plurality of shots are determined that will form a pattern on a surface, where shots are determined so as to reduce sensitivity of the resulting pattern to changes in beam blur (?f). In some embodiments, the sensitivity to changes in ?f is reduced by varying the charged particle surface dosage for a portion of the pattern. Methods for forming patterns on a surface, and for manufacturing an integrated circuit are also disclosed, in which pattern sensitivity to changes in ?f is reduced.
    Type: Grant
    Filed: April 21, 2014
    Date of Patent: May 19, 2015
    Assignee: D2S, Inc.
    Inventors: Akira Fujimura, Ingo Bork
  • Patent number: 9032342
    Abstract: A method of patterning a plurality of layers of a work piece in a series of writing cycles in one or a plurality of write machines, the workpiece being deviced to have a number of N layers and layers of the workpiece having one or a plurality of boundary condition(s) for pattern position, the method comprising the steps of: determining the boundary conditions of layers 1 to N, calculating deviations due to the boundary conditions and calculating a compensation for the deviation of the first transformation added with the assigned part of the deviation due to the boundary conditions.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: May 12, 2015
    Assignee: Mycronic AB
    Inventors: Mikael Wahlsten, Per-Erik Gustafsson
  • Patent number: 9026958
    Abstract: Computer-implemented method, system and computer program product for double patterning technology (DPT) odd loops visualization within an integrated circuit design layout are disclosed. The method, system and computer program product comprise mapping all violations of the integrated circuit design layout to a graph. The method, system and computer programming product also includes partitioning the graph into a plurality of sub-graphs. Each of the plurality of sub-graphs includes multiple edges and multiple nodes. The method, system and computer product further include detecting all possible odd loops in each of the plurality of sub-graphs; and visualizing all of the odd loops in at least one of the plurality of sub-graphs.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: May 5, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventors: Sanjib Ghosh, Harindranath Parameswaran, Henry Yu
  • Patent number: 9026956
    Abstract: Some embodiments of the present disclosure relate to a method to simulate patterning of a layout. The method comprises simulating formation of a layout pattern under a first lithography condition. The first lithography condition comprises a set of parameters, wherein a value of each parameter is defined by a corresponding process model. The method further comprises randomly varying the value of each parameter of the first lithography condition within a range of values defined by the corresponding process model of the parameter, to create a second lithography condition. Formation of a layout pattern is then re-simulated under the second lithography condition. Random variation of the value of each parameter is repeated to create additional lithography conditions. And, each lithography condition is re-simulated until the value of each parameter has been substantially varied across a range of its respective process model.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: May 5, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Cheng Chang, Wei-Kuan Yu, Tsai-Ming Huang, Chin-Min Huang, Cherng-Shyan Tsay, Chien Wen Lai, Hua-Tai Lin, Shih-Ming Chang
  • Patent number: 9026955
    Abstract: The present disclosure relates to a method of integrated chip (IC) design pattern correction that reduces pattern correction cycle time by separately correcting main feature shapes and dummy shapes of the IC design, and an associated apparatus. In some embodiments, the method is performed by forming an IC design having a plurality of main feature shapes. A plurality of dummy shapes are added to the IC design to improve a process window of the IC design. The plurality of main feature shapes are corrected using a first pattern correction process. One or more of the plurality of dummy shapes are subsequently corrected using a second pattern correction process separate from the first pattern correction process. By separately correcting dummy shapes and main feature shapes, the dummy shapes can be subjected to a different pattern correction process having lower time/resource demands, thereby reducing the pattern correction cycle time.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: May 5, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Hung-Chun Wang, Ming-Hui Chih, Ping-Chieh Wu, Chun-Hung Wu, Feng-Ju Chang, Cheng-Kun Tsai, Wen-Chun Huang, Ru-Gun Liu
  • Patent number: 9021405
    Abstract: A plurality of gate electrode patterns to be laid out in parallel are alternately set as first patterns to be formed in a first exposure step of double patterning and as second patterns to be formed in a second exposure step. Subsequently, a circuit that includes transistor pairs each formed by connecting one of the first patterns and one of the second patterns in parallel is laid out. This reduces the risk of variations in characteristics of transistors caused by double patterning.
    Type: Grant
    Filed: June 12, 2012
    Date of Patent: April 28, 2015
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Takanori Hiramoto, Toshio Hino, Tsuyoshi Sakata, Yutaka Mizuno, Katsuya Ogata
  • Patent number: 9009633
    Abstract: A method of correcting assist features includes the following steps. At first, a first layout pattern is received by a computer system, and the first layout pattern is split into a plurality of first regions. Subsequently, a plurality of assist features are added into the first layout pattern to form a second layout pattern, wherein at least one of the assist features neighboring any one of the edges of the first regions is defined as a selected pattern. Then, the second layout pattern is split into a plurality of second regions. Afterwards, a check step is performed on the second region including the selected pattern, and the second layout pattern is corrected to form a corrected second layout pattern.
    Type: Grant
    Filed: May 6, 2013
    Date of Patent: April 14, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Tsung-Yeh Wu, Chin-Lung Lin, Yao-Jen Fan, Wei-Han Chien, Chia-Chun Tsai
  • Patent number: 9003336
    Abstract: A method for optimizing mask assignment for multiple pattern processes includes, through a computing system, defining which of a number of vias to be formed between two metal layers are critical based on metal lines interacting with the vias, determining overlay control errors for an alignment tree that defines mask alignment for formation of the two metal layers and the vias, and setting both the alignment tree and mask assignment for the vias so as to maximize the placement of critical vias on masks that have less overlay control error to the masks forming the relevant metal lines.
    Type: Grant
    Filed: March 1, 2013
    Date of Patent: April 7, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chun Huang, Ken-Hsien Hsieh, Ming-Hui Chih, Chih-Ming Lai, Ru-Gun Liu, Ko-Bin Kao, Chii-Ping Chen, Dian-Hau Chen, Tsai-Sheng Gau, Burn Jeng Lin
  • Patent number: 8997026
    Abstract: A system and method provide semiconductor fabrication mask creation techniques that align the device features patterned with a first core mask with one or more pad features patterned with a subsequent pad mask. Shapes representing the pad features may be included in the core mask by reducing on all sides, the shape of the pad feature in the core mask by the width of the spacer material. A pad mask then may be created to include a shape of the pad feature that may overlap a portion of the spacer material pattern created by the shape of the pad feature in the core mask. Data sets may be generated from a circuit design to create the masks that may be fabricated with the described techniques.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: March 31, 2015
    Assignee: Cadence Design Systems, Inc.
    Inventor: Jason Sweis
  • Patent number: 8986912
    Abstract: A method for generating, via a computer, a mask pattern to be used for an exposure apparatus that exposes an image of the mask pattern on a substrate by irradiating a mask includes obtaining data of a main pattern to be formed on the substrate, and data of a pattern of a lower layer of a layer to which the main pattern is transferred, setting a generation condition for an auxiliary pattern with respect to the main pattern using data of the pattern of the lower layer, determining the auxiliary pattern using the generation condition, and generating data of the mask pattern including the main pattern and the determined auxiliary pattern.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: March 24, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yuichi Gyoda
  • Patent number: 8987008
    Abstract: The present disclosure provides one embodiment of a method for an integrated circuit (IC). The method includes forming a mandrel pattern on a substrate by a first lithography process; forming a first spacer pattern on sidewalls of the mandrel pattern; removing the mandrel pattern; forming a second spacer pattern on sidewalls of the first spacer pattern; removing the first spacer pattern; and etching the substrate using the second spacer pattern as an etch mask.
    Type: Grant
    Filed: August 20, 2013
    Date of Patent: March 24, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Ru-Gun Liu, Hung-Chang Hsieh, Tsai-Sheng Gau, Yao-Ching Ku
  • Patent number: 8984449
    Abstract: Systems, methods, and other embodiments associated with dynamically generating jog patches are described. In one embodiment, a method includes determining a virtual edge within metal of a design at a jog rule violation. The design is a design of an integrated circuit and the virtual edge is an edge of a rectangle associated with one or more edges of the jog rule violation. The example method may also include dynamically generating a jog patch by expanding the metal from the virtual edge.
    Type: Grant
    Filed: September 16, 2013
    Date of Patent: March 17, 2015
    Assignee: Oracle International Corporation
    Inventor: Mu-Jing Li
  • Patent number: 8984453
    Abstract: Methods and systems for designing a binary spatial filter based on data indicative of a desired exposure condition to be emulated by an inspection system, and for implementing the binary spatial filter in an optical path of the inspection system, thereby enabling emulation of the desired exposure condition by interacting a light beam of the inspection system with the binary spatial filter. The present method and systems enable on-the-fly and on-demand design and implementation/generation of spatial filters for use in inspection systems.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: March 17, 2015
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Shmuel Mangan, Amir Sagiv, Mariano Abramson
  • Patent number: 8977990
    Abstract: A reticle including exposure monitoring keys. The reticle includes an exposure region that is to be exposed to light during an exposure process, and a non-exposure region surrounding the exposure region and not to be exposed to the light. The exposure monitoring keys are disposed across a boundary between the exposure region and the non-exposure region.
    Type: Grant
    Filed: April 3, 2013
    Date of Patent: March 10, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Young-Sik An
  • Patent number: 8977989
    Abstract: Definition of a phase shifting layout from an original layout can be time consuming. If the original layout is divided into useful groups, i.e. clusters that can be independently processed, then the phase shifting process can be performed more rapidly. If the shapes on the layout are enlarged, then the overlapping shapes can be grouped together to identify shapes that should be processed together. For large layouts, growing and grouping the shapes can be time consuming. Therefore, an approach that uses bins can speed up the clustering process, thereby allowing the phase shifting to be performed in parallel on multiple computers. Additional efficiencies result if identical clusters are identified and processing time saved so that repeated clusters of shapes only undergo the computationally expensive phase shifter placement and assignment process a single time.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: March 10, 2015
    Assignee: Synopsys, Inc.
    Inventors: Michel L. Cote, Christophe Pierrat
  • Patent number: 8977991
    Abstract: A received layout identifies a plurality of circuit components to be included in an integrated circuit (IC) layer for double patterning the layer using two photomasks, the layout including a plurality of first patterns to be included in the first photomask and at least one second pattern to be included in the second photomask. A selected one of the first patterns has first and second endpoints, to be replaced by a replacement pattern connecting the first endpoint to a third endpoint. At least one respective keep-out region is provided adjacent to each respective remaining first pattern except for the selected first pattern. Data are generated representing the replacement pattern, such that no part of the replacement pattern is formed in any of the keep-out regions. Data representing the remaining first patterns and the replacement pattern are output.
    Type: Grant
    Filed: October 31, 2013
    Date of Patent: March 10, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Huang-Yu Chen, Yuan-Te Hou, Chung-Min Fu, Chung-Hsing Wang, Wen-Hao Chen, Yi-Kan Cheng
  • Patent number: 8972907
    Abstract: In a design layout correcting method of an embodiment, a design layout of a circuit pattern is divided to a first mask pattern and a second mask pattern. The mask pattern of the pattern defect area of the first or second mask pattern is set as the correcting target pattern. A correcting target region and a verifying region are set within the first or second mask pattern. The correcting target pattern is corrected within the correcting target region, and the first and second mask patterns are verified within the verifying region.
    Type: Grant
    Filed: February 27, 2014
    Date of Patent: March 3, 2015
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoko Yokoyama, Keishi Sakanushi, Chikaaki Kodama
  • Patent number: 8972910
    Abstract: A method includes generating one or more routes usable for implementing a conductive path of an integrated circuit. A corresponding cost function value for the one or more routes is calculated according to a first cost function, including adjusting the corresponding cost function value based on whether the corresponding route is at least partially assigned to be formed in a conductive layer by a first patterning process or a second patterning process. The integrated circuit has electrical devices and the conductive layer, and the conductive layer has a first set of conductive lines formed by the first patterning process and a second set of conductive lines formed by the second patterning process. The first set of conductive lines has a unit resistance less than that of the second set of conductive lines. The conductive path electrically connects two of the electrical devices of the integrated circuit.
    Type: Grant
    Filed: August 15, 2013
    Date of Patent: March 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yuan-Te Hou, Wen-Hao Chen, Chin-Hsiung Hsu, Meng-Kai Hsu
  • Patent number: 8966412
    Abstract: One method disclosed herein involves, among other things, identifying a plurality of features within an overall pattern layout that cannot be decomposed using the SADP process, wherein at least first and second adjacent features are required to be same-color features, decreasing a spacing between the first and second adjacent features such that the first feature and the second feature become different-color features so as to thereby render the plurality of features decomposable using the SADP process, decomposing the overall pattern layout into a mandrel mask pattern and a block mask pattern, and generating mask data sets corresponding to the mandrel mask pattern and the block mask pattern.
    Type: Grant
    Filed: September 24, 2013
    Date of Patent: February 24, 2015
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Lei Yuan, Jongwook Kye, Harry J. Levinson
  • Patent number: 8966411
    Abstract: This disclosure relates generally to systems and methods of providing standardized topographical configurations for template regions. In one embodiment, a set of array arrangements is selected. Arrays of template structures are then formed on at least one substrate. Each of the arrays is arranged in accordance with an array arrangement in the set of array arrangements such that the arrays correspond surjectively onto the set of array arrangements. After the arrays are formed, a self-assembly material is provided on the arrays. Self-assembly patterns formed by self-assembling material as a result of the arrays may be empirically observed and used to map a set of self-assembly pattern arrangements surjectively onto the set of array arrangements. Using this mapping, a combination of the self-assembly pattern arrangements that match a target pattern arrangement can be used to select a combination of array arrangements from the set of array arrangements for a template region.
    Type: Grant
    Filed: May 22, 2013
    Date of Patent: February 24, 2015
    Assignee: Massachusetts Institute of Technology
    Inventors: Jae-Byum Chang, Hong Kyoon Choi, Adam F. Hannon, Caroline A. Ross, Karl K. Berggren
  • Patent number: 8966409
    Abstract: A method of forming a mask includes creating a difference map between a desired intra-field pattern that is to be formed on substrates and an intra-field signature pattern. The intra-field signature pattern represents a pattern formed on an example substrate by an exposure field using an example E-beam-written mask. Modifications are determined to formation of mask features to be made using an E-beam mask writer if forming a modified E-beam-written mask having mask features modified from that of the example E-beam-written mask that will improve substrate feature variation identified in the difference map. The E-beam mask writer is programmed using the determined modifications to improve the substrate feature variation identified in the difference map. It is used to form the modified E-beam-written mask having the modified mask features. One or more substrates are photolithographically processed using the modified E-beam-written mask.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: February 24, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Yuan He, Hong Chen, David A. Kewley
  • Patent number: 8959465
    Abstract: Techniques are provided for determining how thick or how deep to make the phased regions of a lithography mask. One example embodiment provides a method that includes: providing a first mask layout design including a first test set, and providing a second mask layout design including a second test set, wherein the second test set is larger than the first test set; simulating critical dimensions through focus of structures of interest in the first test set for a range of phase depths/thicknesses, and selecting an initial preferred mask phase depth/thickness based on results of the simulating; and generating a fast thick-mask model (FTM) at the initial preferred phase depth/thickness, and correcting the second test set of the second mask layout design using the FTM, thereby providing an optimized mask layout design. A mask having the optimized mask layout design may be implemented to give the optimum patterning.
    Type: Grant
    Filed: December 30, 2011
    Date of Patent: February 17, 2015
    Assignee: Intel Corporation
    Inventors: Paul A. Nyhus, Shem O. Ogadhoh, Swaminathan Sivakumar, Seongtae Jeong
  • Patent number: 8959460
    Abstract: A method of assigning layout patterns includes identifying a first set of layout patterns of a current layout design that is new or has been modified in comparison with a reference layout design. A second set of layout patterns of the current layout design is identified. A member of the second set of layout patterns that is not a member of the first set of layout patterns has a distance, less than a predetermined threshold distance, to at least another member of the second set of layout patterns. A third set of layout patterns is not modified in comparison with the reference layout design. The third set of layout patterns is assigned to a plurality of masks according to the reference pattern-assigning result.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: February 17, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Chun Huang, Ming-Hui Chih, Chia-Ping Chiang, Ru-Gun Liu, Tsai-Sheng Gau, Jia-Guei Jou, Chih-Chung Huang, Dong-Hsu Cheng, Yung-Pei Chin
  • Patent number: 8945800
    Abstract: In a multiple patterning techniques, where two or more exposures are used to form a single layer of a device, the splitting of features in a single layer between the multiple exposures is carried out additionally with reference to features of another associated layer and the splitting of that layer into two or more sets of features for separate exposure. The multiple exposure process can be a process involving repeated litho-etch steps desirably, the alignment scheme utilized during exposure of the split layers is optimized with reference to the splitting approach.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: February 3, 2015
    Assignee: ASML Netherlands B.V.
    Inventors: Tsann-Bim Chiou, Mircea Dusa, Alek Chi-Heng Chen
  • Patent number: 8949748
    Abstract: A mask includes a main pattern for resolving a target pattern to be formed on a substrate and an auxiliary pattern not resolving. Values of parameters of the main pattern and the auxiliary pattern are set. An image is calculated that is formed when the main pattern and the auxiliary pattern determined by the values of the parameters of the main pattern and the auxiliary pattern are projected by a projection optical system. Based on a result of the calculation that is performed by modifying the values of the parameters of the main pattern and the auxiliary pattern, the values of the parameters of the main pattern and the auxiliary pattern are determined to generate data of the mask including the main pattern and the auxiliary pattern determined.
    Type: Grant
    Filed: October 25, 2011
    Date of Patent: February 3, 2015
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroyuki Ishii, Kouichirou Tsujita