Coating By Vapor, Gas, Or Smoke Patents (Class 427/248.1)
  • Patent number: 10008690
    Abstract: The present invention discloses a method and a system of flattening a surface formed by sealant of a packaging cover plate, as well as a packaging method, the method includes vibrating a high temperature sintered packaging cover plate by using a high frequency vibrator with a preset frequency, and irradiating the surface formed by sealant of the packaging cover plate by using a laser with preset power, so that a convex portion formed by the sealant is melted and flows to a concave portion formed by the sealant under vibration of the high frequency vibrator, thereby flattening the surface formed by sealant of the packaging cover plate.
    Type: Grant
    Filed: June 18, 2015
    Date of Patent: June 26, 2018
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., ORDOS YUANSHENG OPTOELECTRONICS CO., LTD.
    Inventors: Zhiliang Jiang, Minghua Xuan, Renrong Gai, Bo Zhang, Fei Chen
  • Patent number: 10002805
    Abstract: Wafer treatment process and apparatus is provided with a wafer carrier arranged to hold wafers and to inject a fill gas into gaps between the wafers and the wafer carrier. The apparatus is arranged to vary the composition, flow rate, or both of the fill gas so as to counteract undesired patterns of temperature non-uniformity of the wafers.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: June 19, 2018
    Assignee: Veeco Instruments Inc.
    Inventors: Alexander I. Gurary, Mikhail Belousov, Vadim Boguslavskiy, Bojan Mitrovic
  • Patent number: 9978605
    Abstract: Provided herein are methods of depositing fluorine-free tungsten by sequential CVD pulses, such as by alternately pulsing a chlorine-containing tungsten precursor and hydrogen in cycles of temporally separated pulses, without depositing a tungsten nucleation layer. Methods also include depositing tungsten directly on a substrate surface using alternating pulses of a chlorine-containing tungsten precursor and hydrogen without treating the substrate surface.
    Type: Grant
    Filed: January 4, 2017
    Date of Patent: May 22, 2018
    Assignee: Lam Research Corporation
    Inventors: Hanna Bamnolker, Joshua Collins, Tomas Sadilek, Hyeong Seop Shin, Xiaolan Ba, Raashina Humayun, Michal Danek, Lawrence Schloss
  • Patent number: 9972500
    Abstract: The present invention is provided to improve quality or manufacturing throughput of a semiconductor device. A method includes supplying a source gas to a substrate in a process chamber; exhausting an inside of the process chamber; supplying a reaction gas to the substrate; and exhausting the inside of the process chamber, wherein the source gas and/or the reaction gas is supplied in temporally separated pulses in the supply of the source gas and/or in the supply of the reaction gas. Then, the source gas and/or the reaction gas is supplied in temporally separated pulses to form a film during a gas supply time determined by a concentration distribution of by-products formed on a surface of the substrate.
    Type: Grant
    Filed: October 26, 2016
    Date of Patent: May 15, 2018
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Yukinao Kaga, Arito Ogawa, Atsuro Seino, Atsuhiko Ashitani, Ryohei Maeno, Masanori Sakai
  • Patent number: 9972635
    Abstract: A semiconductor memory device according to one embodiment, includes an interconnect extending in a first direction, a semiconductor member extending in a second direction crossing the first direction, an electrode provided between the interconnect and the semiconductor member, a first insulating film provided between the interconnect and the electrode, a second insulating film provided between the first insulating film and the electrode, a third insulating film provided between the electrode and the semiconductor member, and a metal-containing layer provided between the first insulating film and the second insulating film or inside the first insulating film, and having a metal surface concentration of 1×1014 cm?2 or more and 5×1015 cm?2 or less.
    Type: Grant
    Filed: September 16, 2016
    Date of Patent: May 15, 2018
    Assignee: TOSHIBA MEMORY CORPORATION
    Inventor: Hiroshi Itokawa
  • Patent number: 9957598
    Abstract: A coated article is disclosed including a substrate, a bond coating, and a thermally insulating top coating. The substrate includes a substrate surface and a substrate material at the substrate surface. The bond coating is disposed on and contacts the substrate surface, and includes the substrate material and a bond coating surface distal from the substrate surface. The bond coating surface includes a greater surface roughness than the substrate surface. The thermally insulating top coating is disposed on and contacts the bond coating surface. A method for forming the coated article includes applying the bond coating to the substrate surface, and applying the thermally insulating top coating to the bond coating surface.
    Type: Grant
    Filed: February 29, 2016
    Date of Patent: May 1, 2018
    Assignee: General Electric Company
    Inventor: Kivilcim Onal
  • Patent number: 9945024
    Abstract: In order to produce zirconia-based layers on a deposition substrate, wherein reactive spark deposition using pulsed spark current and/or the application of a magnetic field that is perpendicular to the spark target are employed, a mixed target comprising elemental zircon and at least one stabilizer is used, or a zirconium target comprising elemental zirconium is used, wherein in addition to oxygen, nitrogen is used as the reactive gas. As an alternative, combined with the use of the mixed target, nitrogen can also be used as the reactive gas in addition to oxygen.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: April 17, 2018
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Jürgen Ramm, Beno Widrig
  • Patent number: 9944532
    Abstract: The present document described a solid source and a method for synthesis of silicon-containing precursors for chemical vapor deposition. The solid source comprises a solid polysilane; an energy coupling agent distributed in the solid polysilane; and hydrogen, mixed with the solid polysilane and the energy coupling agent distributed in the solid polysilane, in a necessary amount to satisfy a hydrogen deficiency during a hydrogenolysis reaction.
    Type: Grant
    Filed: October 22, 2014
    Date of Patent: April 17, 2018
    Assignee: SOCPRA Science et Génie S.E.C.
    Inventors: Mihai Scarlete, Cetin Aktik
  • Patent number: 9932227
    Abstract: A process for producing grapheme is disclosed. The process comprises introducing a solution (102) of a metal alkoxide in a solvent into a decomposition apparatus, wherein the decomposition apparatus includes a first region having a sufficiently high temperature to cause thermal decomposition of the metal alkoxide, to produce graphene.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: April 3, 2018
    Assignee: Applied Graphene Materials UK Limited
    Inventor: Karl Stuart Coleman
  • Patent number: 9926796
    Abstract: A method for manufacturing a ply is disclosed. The method includes printing the ply, the ply including a near net shape and a ceramic matrix composite nonwoven material. A ply is disclosed wherein the near net shape is a predetermined layer of an article. A method for manufacturing an article is also disclosed. The method includes printing a first ply and a second ply. The first ply includes a first near net shape and a first ceramic matrix composite nonwoven material, and the second ply includes a second near net shape and a second ceramic matrix composite nonwoven material. The method further includes applying the second ply to the first ply, and consolidating the first ply and the second ply.
    Type: Grant
    Filed: July 28, 2015
    Date of Patent: March 27, 2018
    Assignee: General Electric Company
    Inventor: Jacob John Kittleson
  • Patent number: 9926625
    Abstract: Disclosed is a deposition apparatus. The deposition apparatus includes a susceptor into which reactive gas is introduced, and a wafer holder provided in the susceptor to receive a substrate or a wafer. The wafer holder comprises a gas feeding part provided at a lateral side of the wafer holder.
    Type: Grant
    Filed: September 5, 2012
    Date of Patent: March 27, 2018
    Assignee: LG INNOTEK CO., LTD.
    Inventor: Heung Teak Bae
  • Patent number: 9920220
    Abstract: Embodiments of films and material layers comprising PEDOT. These embodiments are the result of methods that utilize polymerization processes including vapor phase polymerization (VPP) to form the conductive film comprising PEDOT. In one embodiment, the film can result from a method that includes steps for depositing a coating solution onto a substrate, exposing the substrate to a monomer source, and cleaning the substrate after polymerization. The coating solution can comprise an initiating oxidant, which facilitates growth of PEDOT from 3,4 ethylenedioxythiophene (EDOT), as well as a quenching agent that neutralizes acid that results from polymerization.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: March 20, 2018
    Assignee: The Research Foundation of State University of New York
    Inventors: William E. Bernier, Nicholas A. Ravvin, Wayne E. Jones, Jr., Kenneth H. Skorenko
  • Patent number: 9890458
    Abstract: A technique includes forming a film containing a first element, a second element, and carbon on a substrate by performing a cycle a predetermined number of times. The cycle includes non-simultaneously performing: forming a first solid layer containing the first element and carbon, and having a thickness of more than one atomic layer and equal to or less than several atomic layers, by supplying a precursor gas having a chemical bond of the first element and carbon to the substrate and confining the precursor gas within the process chamber, under a condition in which the precursor gas is autolyzed and at least a part of the chemical bond of the first element and carbon is maintained without being broken; and forming a second solid layer by supplying a reaction gas containing the second element to the substrate to modify the first solid layer.
    Type: Grant
    Filed: February 23, 2015
    Date of Patent: February 13, 2018
    Assignee: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Ryuji Yamamoto, Satoshi Shimamoto, Yoshiro Hirose
  • Patent number: 9890456
    Abstract: A system and method for providing intermediate reactive species to a reaction chamber are disclosed. The system includes an intermediate reactive species formation chamber fluidly coupled to the reaction chamber to provide intermediate reactive species to the reaction chamber. A pressure control device can be used to control an operating pressure of the intermediate reactive species formation chamber, and a heater can be used to heat the intermediate reactive species formation chamber to a desired temperature.
    Type: Grant
    Filed: August 21, 2014
    Date of Patent: February 13, 2018
    Assignee: ASM IP Holding B.V.
    Inventors: John Tolle, Eric Hill, Jereld Lee Winkler
  • Patent number: 9881807
    Abstract: A method of etching a layer on a substrate includes disposing a substrate in a plasma processing system configured to facilitate an etching process, performing an atomic layer etching process cycle to etch a monolayer of an exposed surface of the substrate, and repeating the atomic layer etching process cycle until a target depth is reached. Each process cycle etches the monolayer from the exposed surface.
    Type: Grant
    Filed: March 29, 2016
    Date of Patent: January 30, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Alok Ranjan, Sonam Sherpa, Mingmei Wang
  • Patent number: 9878957
    Abstract: Catalyst extraction from polycrystalline diamond table may be achieved by treating with a halogen (in the gas phase or dissolved in a nonpolar organic solvent) to convert the catalyzing material to a salt. Then, polar organic solvents may optionally be used to leach the salt from the polycrystalline diamond table. The polycrystalline diamond (with the salt of the catalyzing material present or at least partially leached therefrom) may be brazed to a hard composite substrate to produce a cutter suitable for use in a matrix drill bit.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: January 30, 2018
    Assignee: HALLIBURTON ENERGY SERVICES, INC.
    Inventors: Enrique Antonio Reyes, Tiffany Anne Pinder, Qi Liang, Gagan Saini, Brian Atkins
  • Patent number: 9856556
    Abstract: The present invention relates to a wear resistant coating suitable to be deposited on cutting tool inserts for chip forming metal machining. The coating comprises at least two layers with different grain size, but with essentially the same composition. The coating is deposited by Physical Vapor Deposition (PVD).
    Type: Grant
    Filed: March 30, 2011
    Date of Patent: January 2, 2018
    Assignee: OERLIKON SURFACE SOLUTIONS AG, PFAEFFIKON
    Inventors: Jacob Sjölén, Jon Andersson, Jörg Vetter, Jürgen Müller
  • Patent number: 9840776
    Abstract: Methods and apparatus for multi-station semiconductor deposition operations with RF power frequency tuning are disclosed. The RF power frequency may be tuned according to a measured impedance of a plasma during the semiconductor deposition operation. In certain implementations of the methods and apparatus, a RF power parameter may be adjusted during or prior to the deposition operation. Certain other implementations of the semiconductor deposition operations may include multiple different deposition processes with corresponding different recipes. The recipes may include different RF power parameters for each respective recipe. The respective recipes may adjust the RF power parameter prior to each deposition process. RF power frequency tuning may be utilized during each deposition process.
    Type: Grant
    Filed: December 15, 2015
    Date of Patent: December 12, 2017
    Assignee: Lam Research Corporation
    Inventors: Sunil Kapoor, Karl F. Leeser, Adrien LaVoie, Yaswanth Rangineni
  • Patent number: 9829805
    Abstract: A photoresist vapor deposition system includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck. The deposition system creates a semiconductor wafer system that includes: a semiconductor wafer; and a vapor deposited photoresist over the semiconductor wafer. An extreme ultraviolet lithography system requiring the semiconductor wafer system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for imaging the light from the extreme ultraviolet light source; and a wafer stage for placing a semiconductor wafer with a vapor deposited photoresist.
    Type: Grant
    Filed: November 21, 2016
    Date of Patent: November 28, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Timothy Michaelson, Timothy W. Weidman, Barry Lee Chin, Majeed Foad, Paul Deaton
  • Patent number: 9816171
    Abstract: Disclosed are new boronizing compositions consisting of boron fluoride and boron oxide, borax, or an iron boride. The compositions reduce the heating temperature and time. Further disclosed are methods of boronizing a metal substrate including these compositions, or any combination thereof.
    Type: Grant
    Filed: February 12, 2014
    Date of Patent: November 14, 2017
    Assignee: Siemens Aktiengesellschaft
    Inventors: Gia Khanh Pham, David S. Segletes, Steffan Walter, Niels Van der Laag
  • Patent number: 9816186
    Abstract: Methods and systems are provided for fabricating polymer-based imprint lithography templates having thin metallic or oxide coated patterning surfaces. Such templates show enhanced fluid spreading and filling (even in absence of purging gases), good release properties, and longevity of use. Methods and systems for fabricating oxide coated versions, in particular, can be performed under atmospheric pressure conditions, allowing for lower cost processing and enhanced throughput.
    Type: Grant
    Filed: October 26, 2015
    Date of Patent: November 14, 2017
    Assignee: Molecular Imprints, Inc.
    Inventors: Se Hyun Ahn, Byung-Jin Choi, Frank Y. Xu
  • Patent number: 9793479
    Abstract: Embodiments described herein provide methods for processing various polymer materials for use in devices, such as photovoltaic devices. In some cases, oxidative chemical vapor deposition (oCVD) may be used to process conjugated polymers, including relatively insoluble conjugated polymers. The methods described herein provide processing techniques that may be used to synthesize and/or process polymers, such as unsubstituted thiophene.
    Type: Grant
    Filed: December 14, 2012
    Date of Patent: October 17, 2017
    Assignee: Massachusetts Institute of Technology
    Inventors: Karen K. Gleason, Vladimir Bulovic, Miles C. Barr, David C. Borrelli
  • Patent number: 9786910
    Abstract: An acidified metal oxide (“AMO”) material, preferably in monodisperse nanoparticulate form 20 nm or less in size, having a pH<7 when suspended in a 5 wt % aqueous solution and a Hammett function H0>?12, at least on its surface. The AMO material is useful in applications such as a battery electrode, catalyst, or photovoltaic component.
    Type: Grant
    Filed: November 15, 2016
    Date of Patent: October 10, 2017
    Assignee: HHELI, LLC
    Inventors: Paige L. Johnson, Jonathan G. Neff
  • Patent number: 9771644
    Abstract: A method for applying an aluminide coating includes applying an aluminum-based slurry onto an elongated member. The elongate member is introduced through an opening of a component and positioned within a cavity of the component at a location that is spaced apart from the internal surfaces of the component. Heat is applied to generate vaporized aluminum which diffuses into the internal surfaces of the component. Aluminum reacts with the internal surfaces to form an aluminide coating.
    Type: Grant
    Filed: November 6, 2014
    Date of Patent: September 26, 2017
    Assignee: PRAXAIR S.T. TECHNOLOGY, INC.
    Inventors: Kevin E. Garing, Jeffrey J. McConnell, Carl Hugo Hutzler, III
  • Patent number: 9768400
    Abstract: A method of making N-type semiconductor layer includes following steps. A semiconductor carbon nanotube layer is provided. A hafnium oxide layer is deposited on the semiconductor carbon nanotube layer via atomic layer deposition, wherein the atomic layer deposition includes following substeps. The semiconductor carbon nanotube layer is located into an atomic layer deposition system. The semiconductor carbon nanotube layer is heated to a temperature ranging from about 140° C. to about 200° C. A protective gas is continuously introduced into the atomic layer deposition system. The hafnium oxide layer is formed on the semiconductor carbon nanotube layer via introducing hafnium source and water vapor one by one into the atomic layer deposition system in a pulse manner.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: September 19, 2017
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Yuan-Hao Jin, Qun-Qing Li, Shou-Shan Fan
  • Patent number: 9758866
    Abstract: A compound that is useful for forming a metal by reaction with a reducing agent is described by formula (I): wherein M is a metal selected from Groups 2 through 12 of the Periodic Table; and R1, R2, R3, and R4 are each independently H or C1-C8 alkyl.
    Type: Grant
    Filed: February 13, 2013
    Date of Patent: September 12, 2017
    Assignee: Wayne State University
    Inventors: Charles H. Winter, Lakmal C. Kalutarage
  • Patent number: 9748447
    Abstract: Disclosed is a semiconductor light emitting device including: a plurality of semiconductor layers; and a first electrode which is formed on an exposed region of the first semiconductor layer created by mesa etching portions of the second semiconductor layer, the active layer and the first semiconductor layer, and includes a contact layer in contact with the first semiconductor layer, a reflective layer formed on the contact layer, while facing an exposed region of the active layer created by mesa etching and reflecting light, and an anti-rupture layer formed on the reflective layer.
    Type: Grant
    Filed: November 12, 2014
    Date of Patent: August 29, 2017
    Assignee: SEMICON LIGHT CO., LTD.
    Inventor: Soo Kun Jeon
  • Patent number: 9748104
    Abstract: A method of depositing a film is provided. In the method, one operation of a unit of film deposition process is performed by carrying a substrate into a processing chamber, by depositing a nitride film on the substrate, and by carrying the substrate out of the processing chamber after finishing depositing the nitride film on the substrate. The one operation is repeated a predetermined plurality of number of times continuously to deposit the nitride film on a plurality of substrates continuously. After that, an inside of the processing chamber is oxidized by supplying an oxidation gas into the processing chamber.
    Type: Grant
    Filed: July 22, 2014
    Date of Patent: August 29, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Hiroko Sasaki, Yu Wamura, Masato Koakutsu
  • Patent number: 9741556
    Abstract: Technique includes forming a film containing first element, second element and carbon on a substrate by performing a cycle a predetermined number of times. The cycle includes non-simultaneously performing forming a first solid layer having thickness of more than one atomic layer and equal to or less than several atomic layers and containing chemical bonds of the first element and carbon by supplying a precursor having the chemical bonds to the substrate under a condition where the precursor is pyrolyzed and at least some of the chemical bonds contained in the precursor are maintained without being broken, and forming a second solid layer by plasma-exciting a reactant containing the second element and supplying the plasma-excited reactant to the substrate, or by plasma-exciting an inert gas and supplying the plasma-excited inert gas and a reactant containing the second element which is not plasma-excited to the substrate.
    Type: Grant
    Filed: June 2, 2015
    Date of Patent: August 22, 2017
    Assignee: HITACHI KOKUSAI ELECTRIC, INC.
    Inventors: Ryuji Yamamoto, Yoshiro Hirose, Satoshi Shimamoto
  • Patent number: 9725807
    Abstract: Methods and systems are provided for fabricating polymer-based imprint lithography templates having thin metallic or oxide coated patterning surfaces. Such templates show enhanced fluid spreading and filling (even in absence of purging gases), good release properties, and longevity of use. Methods and systems for fabricating oxide coated versions, in particular, can be performed under atmospheric pressure conditions, allowing for lower cost processing and enhanced throughput.
    Type: Grant
    Filed: October 26, 2015
    Date of Patent: August 8, 2017
    Assignees: CANON NANOTECHNOLGIES, INC., MOLECULAR IMPRINTS, INC.
    Inventors: Se Hyun Ahn, Byung-Jin Choi, Frank Y. Xu
  • Patent number: 9725805
    Abstract: A vapor phase deposition method and apparatus for the application of thin layers and coatings on substrates. The method and apparatus are useful in the fabrication of electronic devices, micro-electromechanical systems (MEMS), Bio-MEMS devices, micro and nano imprinting lithography, and microfluidic devices. The apparatus used to carry out the method provides for the addition of a precise amount of each of the reactants to be consumed in a single reaction step of the coating formation process. The apparatus provides for precise addition of quantities of different combinations of reactants during a single step or when there are a number of different individual steps in the coating formation process. The precise addition of each of the reactants in vapor form is metered into a predetermined set volume at a specified temperature to a specified pressure, to provide a highly accurate amount of reactant.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: August 8, 2017
    Assignee: SPTS Technologies Limited
    Inventors: Boris Kobrin, Romuald Nowak, Richard C. Yi, Jeffrey D. Chinn
  • Patent number: 9698106
    Abstract: Various techniques, methods, devices and apparatus are provided where an isolation layer is provided at a peripheral region of the substrate, and one or more metal layers are deposited onto the substrate.
    Type: Grant
    Filed: March 17, 2016
    Date of Patent: July 4, 2017
    Assignee: Infineon Technologies Austria AG
    Inventors: Kae-Horng Wang, Francisco Javier Santos Rodriguez, Michael Knabl, Guenther Koffler
  • Patent number: 9689069
    Abstract: In some examples, an article may include a superalloy substrate and a coating on the superalloy substrate. In accordance with this example, the coating includes a diffusion barrier layer on the substrate and a wear resistant oxide layer over the diffusion barrier layer. The diffusion barrier layer may include iridium and the wear resistant oxide layer may include at least one of silica, zirconia, or chromia.
    Type: Grant
    Filed: March 9, 2015
    Date of Patent: June 27, 2017
    Assignee: Rolls-Royce Corporation
    Inventors: Sungbo Shim, Ann Bolcavage, Randolph C. Helmink
  • Patent number: 9691770
    Abstract: Vanadium-containing film forming compositions are disclosed, along with methods of synthesizing the same, and methods of forming Vanadium-containing films on one or more substrates via vapor deposition processes using the Vanadium-containing film forming composition.
    Type: Grant
    Filed: April 15, 2016
    Date of Patent: June 27, 2017
    Assignee: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude
    Inventors: Clément Lansalot-Matras, Wontae Noh
  • Patent number: 9660223
    Abstract: A printing apparatus includes a printing mask, which is disposed between a substrate having a display area and a non-display area surrounding the display area. The apparatus further includes a nozzle discharging an organic light emitting liquid onto the substrate. The printing mask includes a mask open part and a mask cover part. The mask open part exposes the display area, and the mask cover part surrounds the mask open part and covers the non-display area. The apparatus can be used to form an organic emitting layer on the substrate.
    Type: Grant
    Filed: June 20, 2016
    Date of Patent: May 23, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventor: Eui-Gyu Kim
  • Patent number: 9653300
    Abstract: A manufacturing method of a metal gate structure is provided. First, a substrate covered by an interlayer dielectric is provided. A gate trench is formed in the interlayer dielectric, wherein a gate dielectric layer is formed in the gate trench. A silicon-containing work function layer is formed on the gate dielectric layer in the gate trench. Finally, the gate trench is filled up with a conductive metal layer.
    Type: Grant
    Filed: April 16, 2013
    Date of Patent: May 16, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Nien-Ting Ho, Chien-Hao Chen, Hsin-Fu Huang, Chi-Yuan Sun, Wei-Yu Chen, Min-Chuan Tsai, Tsun-Min Cheng, Chi-Mao Hsu
  • Patent number: 9637385
    Abstract: In one aspect of the invention, a method for growth of carbon nanotubes includes providing a graphitic composite, decorating the graphitic composite with metal nanostructures to form graphene-contained powders, and heating the graphene-contained powders at a target temperature to form the carbon nanotubes in an argon/hydrogen environment that is devoid of a hydrocarbon source. In one embodiment, the target temperature can be as low as about 150° C. (±5° C.).
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: May 2, 2017
    Assignee: BOARD OF TRUSTEES OF THE UNIVERSITY OF ARKANSAS
    Inventors: Alexandru S. Biris, Enkeleda Dervishi
  • Patent number: 9632411
    Abstract: A photoresist vapor deposition system includes: a vacuum chamber having a heating element and cooled chuck for holding a substrate, the vacuum chamber having a heated inlet; and a vapor deposition system connected to the heated inlet for volatilizing a precursor into the vacuum chamber for condensing a photoresist over the substrate cooled by the cooled chuck. The deposition system creates a semiconductor wafer system that includes: a semiconductor wafer; and a vapor deposited photoresist over the semiconductor wafer. An extreme ultraviolet lithography system requiring the semiconductor wafer system includes: an extreme ultraviolet light source; a mirror for directing light from the extreme ultraviolet light source; a reticle stage for imaging the light from the extreme ultraviolet light source; and a wafer stage for placing a semiconductor wafer with a vapor deposited photoresist.
    Type: Grant
    Filed: December 23, 2013
    Date of Patent: April 25, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Timothy Michaelson, Timothy W. Weidman, Barry Lee Chin, Majeed Foad, Paul Deaton
  • Patent number: 9607955
    Abstract: The present disclosure relates to forming multi-layered contact pads for a semiconductor device, wherein the various layers of the contact pad are formed using one or more thin-film deposition processes, such as an evaporation process. Each contact pad includes an adhesion layer, which is formed over the device structure for the semiconductor device; a titanium nitride (TiN) barrier layer, which is formed over the adhesion layer; and an overlay layer, which is formed over the barrier layer. At least the titanium nitride (TiN) barrier layer is formed using an evaporation process.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: March 28, 2017
    Assignee: Cree, Inc.
    Inventors: Van Mieczkowski, Zoltan Ring, Jason Gurganus, Helmut Hagleitner
  • Patent number: 9593408
    Abstract: A thin film deposition apparatus includes a deposition source that is disposed opposite to a substrate and holds a deposition material that is vaporized; a first nozzle unit disposed between the substrate and the deposition source and having first slit units arranged in a first direction of the substrate; a second nozzle unit disposed between the first nozzle unit and the substrate and having second slit units arranged in the first direction of the substrate; and at least one barrier member assembly disposed between the first nozzle unit and the second nozzle unit and partitioning the space between the first nozzle unit and the second nozzle unit. A deposition blade is optionally disposed in any space formed between the first nozzle unit and the second nozzle unit during a stand-by mode to prevent the deposition of the deposition material from being deposited onto undesirable regions of the chamber.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: March 14, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jung-Min Lee, Choong-Ho Lee
  • Patent number: 9580794
    Abstract: This disclosure relates to a method for preparing vertically grown nanostructures of C60 and conjugated molecules, including: forming a C60 film or a conjugated organic molecular film; and introducing the C60 film or conjugated organic molecular film and a solvent into an airtight container, and then conducting solvent vapor annealing. According to the preparation method, C60 molecules and conjugated molecules may be vertically grown under mild conditions by a simple process.
    Type: Grant
    Filed: March 26, 2014
    Date of Patent: February 28, 2017
    Assignee: POSTECH ACADEMY-INDUSTRY FOUNDATION
    Inventors: Hee Cheul Choi, Jungah Kim
  • Patent number: 9566567
    Abstract: A metal-carbon composite supported catalyst for hydrogen production using co-evaporation and a method of preparing the same, wherein the catalyst is configured such that a metal-carbon composite having a core-shell structure resulting from co-evaporation is supported on the surface of an oxide-based support coated with carbon, thereby maintaining superior durability without agglomeration even in a catalytic reaction at a high temperature. Because part or all of the surface of metal is covered with the carbon shell, even when the catalyst is applied under severe reaction conditions including high temperatures, long periods of time, acidic or alkaline states, etc., the metal particles do not agglomerate or are not detached, and do not corrode, thus exhibiting high performance and high durability. Therefore, inactivation of the catalyst or the generation of side reactions can be prevented, so that the catalyst can be efficiently utilized in hydrogen production.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: February 14, 2017
    Assignee: KOREA INSTITUTE OF ENERGY RESEARCH
    Inventors: Hee Yeon Kim, Seok Yong Hong, Hyun Uk Kim
  • Patent number: 9570692
    Abstract: A motherboard of flexible display panel and the method for manufacturing the flexible display panel are provided, the motherboard of flexible display panel includes a carrier substrate and at least one flexible display panel unit formed on the carrier substrate; the flexible display panel unit includes a liner layer, a flexible substrate and a display element; the liner layer includes a first zone and a second zone, the liner layer of the first zone has a laser absorptivity lower than that of the liner layer of the second zone, and the liner layer of the second zone has a critical energy no larger than that of the liner layer of the first zone; the first zone is located at the edge region of the flexible display panel unit.
    Type: Grant
    Filed: December 11, 2014
    Date of Patent: February 14, 2017
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Ming Che Hsieh, Chunyan Xie, Lu Liu
  • Patent number: 9551063
    Abstract: An evaporation apparatus with high utilization efficiency for EL materials and excellent film uniformity is provided. The invention is an evaporation apparatus having a movable evaporation source and a substrate rotating unit, in which the space between an evaporation source holder and a workpiece (substrate) is narrowed to 30 cm or below, preferably 20 cm, more preferably 5 to 15 cm, to improve the utilization efficiency for EL materials. In evaporation, the evaporation source holder is moved in the X-direction or the Y-direction, and the workpiece (substrate) is rotated for deposition. Therefore, film uniformity is improved.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: January 24, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masakazu Murakami, Hisashi Ohtani
  • Patent number: 9535321
    Abstract: The imprint apparatus of the present invention molds an uncured resin on a substrate using a mold to form a resin pattern on the substrate. The apparatus includes a measuring device configured to project a light onto the mold, to receive a light scattered by the mold, and to measure the scattered light; and a controller. The controller is configured to store a reference signal, to cause the measuring device to measure the mold to obtain a measurement signal, and to obtain an index indicating a discrepancy between the measurement signal and the reference signal.
    Type: Grant
    Filed: February 11, 2011
    Date of Patent: January 3, 2017
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Hironori Maeda
  • Patent number: 9523147
    Abstract: A method of continuously subjecting an elongated substrate to vacuum film formation is disclosed. The method comprises the steps of: feeding a first substrate from a first roll chamber in a first direction from the first roll chamber toward a second roll chamber; degassing the first substrate; forming a film of a second material on the first substrate, in a second film formation chamber; and rolling up the first substrate in the second roll chamber, thereby producing the first substrate, and comprises similar steps to produce a second substrate. In advance of producing the first substrate with the second material film, the first cathode electrode of the first film formation chamber is removed from the first film formation chamber, and, in advance of producing the second substrate with the first material film, the second cathode electrode of the second film formation chamber is removed from the second film formation chamber.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: December 20, 2016
    Assignee: NITTO DENKO CORPORATION
    Inventors: Tomotake Nashiki, Yoshimasa Sakata, Hideo Sugawara, Kenkichi Yagura, Akira Hamada, Yoshihisa Ito, Kuniaki Ishibashi
  • Patent number: 9525155
    Abstract: A gas and moisture permeation barrier stack deposited by both sputtering and atomic layer deposition techniques. In one embodiment, the barrier stack comprises a bottom barrier layer deposited on a substrate by sputtering and a top barrier layer deposited on the sputtered layer by atomic layer deposition. In one embodiment, the sputtered barrier layer has a water vapor transmission rate of about 10?5 gm/m2·day or lower, and the top barrier layer improves the water vapor transmission rate of the resulting two-layer barrier stack to about 10?6 gm/m2·day or lower.
    Type: Grant
    Filed: November 6, 2013
    Date of Patent: December 20, 2016
    Assignee: Samsung SDI Co., Ltd.
    Inventors: Xianghui Zeng, Lorenza Moro, Damien Boesch
  • Patent number: 9517025
    Abstract: Systems and methods of use for continuous analyte measurement of a host's vascular system are provided. In some embodiments, a continuous glucose measurement system includes an electrochemical sensor incorporating a silver/silver chloride reference electrode, wherein a capacity of the reference electrode is controlled.
    Type: Grant
    Filed: May 12, 2016
    Date of Patent: December 13, 2016
    Assignee: DexCom, Inc.
    Inventors: Daiting Rong, Sebastian Böhm, Matthew D. Wightlin
  • Patent number: 9514933
    Abstract: Provided are atomic layer deposition methods to deposit a film using a circular batch processing chamber with a plurality of sections separated by gas curtains so that each section independently has a process condition.
    Type: Grant
    Filed: December 31, 2014
    Date of Patent: December 6, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yu Lei, Srinivas Gandikota, Seshadri Ganguli, Bo Zheng, Rajkumar Jakkaraju, Martin Jeff Salinas, Benjamin Schmiege
  • Patent number: 9515252
    Abstract: A method of making a magnetic random access memory (MRAM) device comprising forming a magnetic tunnel junction on an electrode, the magnetic tunnel junction comprising a first reference layer, a free layer, and a first tunnel barrier layer; and depositing an encapsulating silicon nitride film on and along sidewalls of the magnetic tunnel junction; wherein the silicon nitride film has a N:Si ratio from 0.1 to 1. An MRAM device made by the above method is also disclosed.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: December 6, 2016
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Anthony J. Annunziata, Chandrasekaran Kothandaraman, Gen P. Lauer, JungHyuk Lee, Nathan P. Marchack, Deborah A. Neumayer, Eugene J. O'Sullivan, Jeong-Heon Park