DECONTAMINATION OF MOCVD CHAMBER USING NH3 PURGE AFTER IN-SITU CLEANING

- APPLIED MATERIALS, INC.

Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one more interior surfaces of a substrate processing chamber after a substrate is processed in a chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes. In one embodiment, a method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber is provided. The method comprises depositing one or more Group III containing layers over a substrate disposed in the substrate processing chamber, transferring the substrate out of the substrate processing chamber, and pulsing a halogen containing gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the substrate processing chamber.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

This application claims benefit of U.S. provisional patent application Ser. No. 61/173,552 (14309L), filed Apr. 28, 2009, which is herein incorporated by reference it its entirety.

BACKGROUND OF THE INVENTION

1. Field of the Invention

Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber after a substrate is processed in the chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes.

2. Description of the Related Art

Group III-V films are finding greater importance in the development and fabrication of a variety of semiconductor devices, such as short wavelength Light-emitting diodes (LEDs), laser diodes (LDs), and electronic devices including high power, high frequency, high temperature transistors and integrated circuits. For example, short wavelength (e.g., blue/green to ultraviolet) LEDs are fabricated using the Group III-nitride semiconducting material gallium nitride (GaN). It has been observed that short wavelength LEDs fabricated using GaN can provide significantly greater efficiencies and longer operating lifetimes than short wavelength LEDs fabricated using non-nitride semiconducting materials, comprising Group II-VI elements.

One method that has been used for depositing Group III-nitrides, such as GaN, is metal organic chemical vapor deposition (MOCVD). This chemical vapor deposition method is generally performed in a reactor having a temperature controlled environment to assure the stability of a first precursor gas which contains at least one element from Group III, such as gallium (Ga). A second precursor gas, such as ammonia (NH3), provides the nitrogen needed to form a Group III-nitride. The two precursor gases are injected into a processing zone within the reactor where they mix and move towards a heated substrate in the processing zone. A carrier gas may be used to assist in the transport of the precursor gases towards the substrate. The precursors react at the surface of the heated substrate to form a Group III-nitride layer, such as GaN, on the substrate surface.

Another method that has been used to deposit Group III-nitrides, such as GaN, is hydride vapor phase epitaxy (HVPE). HVPE processes for growing Group III-V are generally performed in a reactor having a temperature controlled environment to assure the stability of a Group III metal used in the process. Group III metals provided by a Group III source, such as a gallium (Ga) metal source, in the reactor reacts with a halide, such as hydrogen chloride (HCl) gas, forming Group III halide vapor. A nitrogen containing precursor, such as ammonia (NH3), is subsequently transported by a separate gas line to a reaction zone in the reactor where it is heated and mixes with the Group III halide vapor, such as GaCl3. A carrier gas is used to carry Group III halide and Group V vapor towards the substrate within the reactor. The mixed Group III halide, such as GaCl3, and nitrogen containing precursor, such as ammonia (NH3), carried by the carrier gas is subsequently eptaxially grown into a Group III-V layer (GaN) on the substrate surface.

Unwanted deposition on the interior surfaces such as the walls and the showerheads of the processing chambers may occur in both MOCVD and HVPE chambers during MOCVD and HVPE processes. Such unwanted deposition may create particles and flakes within the chamber, resulting in the drift of process conditions and more importantly affecting the process reproducibility and uniformity. As is know in the industry, it is common to perform ex-situ cleans after every few processing runs. In certain ex-situ cleans, the chamber must be disassembled and manually cleaned every few runs. For example, in certain ex-situ cleans, the steel parts of the reactor may be cleaned with a sodium-hydroxide or potassium-hydroxide solution, accelerated by heating or addition of peroxide additives, while the quartz and graphite parts are cleaned using a cleaning solution such as nitro-hydrochloric acid (HCl:HNO3) containing solution or hydrofluoric acid containing solution. Subsequently, the parts are rinsed, baked dry outside the reactor in an oven, and finally baked again at a higher temperature (>100° C. above process temperature) before resuming the deposition process. This is a very cumbersome process, and represents an unreasonable limitation on any high-throughput, substrate processing system.

As the demand for LEDs, LDs, transistors, and integrated circuits increases, the efficiency of depositing high quality Group-III nitride films takes on greater importance. Therefore, there is a need for improved methods and apparatus for cleaning substrate chambers which reduce particle contamination while maintaining substrate throughput.

SUMMARY OF THE INVENTION

Embodiments of the present invention generally relate to methods and apparatus for removing unwanted deposition build-up from one more interior surfaces of a substrate processing chamber after a substrate is processed in a chamber to form, for example, Group III-V materials by metal-organic chemical vapor deposition (MOCVD) deposition processes and/or hydride vapor phase epitaxial (HVPE) deposition processes. In one embodiment, a method for removing unwanted deposition build-up from one or more interior surfaces of a metal organic chemical vapor deposition (MOCVD) processing chamber is provided. The method comprises depositing one or more Group III containing layers over a substrate disposed in the processing chamber, transferring the substrate out of the processing chamber, pulsing a halogen cleaning gas into the processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the processing chamber, and pulsing a purge gas into the processing chamber after pulsing the halogen cleaning gas to remove reaction by-products formed from the reaction of the halogen cleaning gas with the unwanted deposition build-up from the processing chamber, wherein the pulsing a purge gas immediately follows the pulsing a halogen cleaning gas to remove reaction by-products from the interior surfaces of the processing chamber before the reaction by-products condense on the interior surface of the substrate processing chamber.

In another embodiment, a method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber is provided. The method comprises positioning a substrate on a susceptor in a processing region of a substrate processing chamber comprising a showerhead for supplying processing gases to the processing region, depositing one or more gallium containing layers over the substrate disposed in the processing region, transferring the substrate out of the substrate processing chamber, pulsing chlorine gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces and the showerhead of the substrate processing chamber, and pulsing a first purge gas into the processing chamber to remove the chlorine gas and reaction by-products formed from the reaction of the chlorine gas with the unwanted deposition build-up from the substrate processing chamber.

In yet another embodiment, an integrated processing system for manufacturing compound nitride semiconductor devices is provided. The integrated processing system comprises one or more substrate processing chambers operable to form one or more Group III compound nitride semiconductor layers on one or more substrates positioned in the substrate processing chamber, a halogen gas source coupled with at least one of the one or more substrate processing chambers operable for pulsing a halogen gas into the substrate processing chamber to remove at least a portion of unwanted deposition build-up deposited when forming one or more Group III compound nitride semiconductor layers on the one or more substrates from one or more interior surfaces of the substrate processing chambers, and a purge gas source coupled with at least one of the one or more substrate processing chamber operable for pulsing purge gas into the one or more substrate processing chamber to remove reaction by-products formed from the reaction of the halogen gas with the unwanted deposition build-up from the substrate processing chamber.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1A is a gallium-chloride phase diagram;

FIG. 1B is a schematic illustration of a structure of a GaN-based LED;

FIG. 1C is a schematic illustration of a GaN based LD structure;

FIG. 2 is a schematic top view illustrating one embodiment of a processing system for fabricating compound nitride semiconductor devices according to embodiments described herein;

FIG. 3 is a schematic cross-sectional view of one embodiment of a metal-organic chemical vapor deposition (MOCVD) chamber for fabricating compound nitride semiconductor devices according to embodiments described herein;

FIG. 4 is a schematic cross-sectional view of one embodiment of a hydride vapor phase epitaxy (HVPE) chamber for fabricating compound nitride semiconductor devices according to embodiments described herein;

FIG. 5 is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;

FIG. 6A is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;

FIG. 6B is a flow diagram of one embodiment of an in-situ pulse cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;

FIG. 7 is a flow diagram of one embodiment of an in-situ cleaning process that may be used for cleaning substrate processing chambers according to embodiments described herein;

FIG. 8 is a flow diagram of one embodiment of a cleaning process that may be used for cleaning a substrate processing chamber such as an HVPE chamber according to embodiments described herein;

FIG. 9A is a SIMS depth profile of full LED growth after the chamber cleaning process described herein; and

FIG. 9B is a SIMS depth profile of full LED growth after the chamber cleaning process described herein.

To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

DETAILED DESCRIPTION

Embodiments described herein provide improved methods and apparatus for chamber cleaning which may be performed in-situ to remove unwanted deposition build-up off the interior surfaces of a substrate processing chamber thus reducing particle contamination while maintaining system uptime. In one embodiment, the chamber cleaning process is performed by pulsing a halogen containing gas, such as chlorine containing cleaning gas, into the substrate processing chamber to convert the unwanted deposition, such as gallium coating, on the surfaces of the chamber and the chamber components into a gaseous form, such as GaCl3, which may then be removed from the chamber.

As previously discussed, the growth of high temperature GaN by MOCVD or HVPE often results in severe parasitic deposition on chamber parts especially the showerhead. This parasitic deposition causes particles and flaking inside the chamber, resulting in drift of the process conditions, and most importantly affecting the process reproducibility and uniformity. As a result the chamber needs to be opened and manually cleaned after each run which greatly reduces chamber efficiency. Embodiments described herein use halogen gases such as chlorine to perform an in-situ chamber clean.

FIG. 1A is a gallium-chloride (GaCl3) phase diagram. One advantage of using chlorine and/or any other halogen containing gas such as fluorine, bromine, or iodine is that there is no NH4Cl formation as in the case where HCl is used. The main reaction product of a chlorine cleaning process is generally gallium chloride (GaCl3). At certain processing temperatures, GaCl3 condenses inside the chamber. Based on pressure-temperature phase diagram for GaCl3 shown in FIG. 1A, it is possible to predict conditions favorable for keeping GaCl3 in the vapor phase and preventing any residual deposition or condensation inside the chamber, especially on the showerhead. In certain embodiments, a temperature greater than 100° C. and a pressure lower than 20 Torr is required for keeping a reaction product such as GaCl3 in the gas phase.

Currently, MOCVD and HVPE techniques are the most widely used techniques for the growth of Group III-nitride based LED manufacturing. One example of a nitride-based structure that may be formed using any combination of MOCVD and/or HVPE techniques is illustrated in FIG. 1B as a GaN-based LED structure 100. It is fabricated over a substrate 104. Substrate size may range from 50 mm-100 mm in diameter or larger. It is to be understood that the substrates may consist of at least one of sapphire, SiC, GaN, silicon, quartz, GaAs, AlN, and glass. An undoped gallium nitride (u-GaN layer) followed by an n-type GaN layer 112 is deposited over a GaN or aluminum nitride (AlN) buffer layer 108 formed over the substrate. An active region of the device is embodied in a multi-quantum-well layer 116, shown in the drawing to comprise an InGaN layer. A p-n junction is formed with an overlying p-type AlGaN layer 120, with a p-type GaN layer 124 acting as a contact layer.

One example of a fabrication process for such an LED may use a combination of HVPE and/or MOCVD techniques that follow cleaning of the substrate 104 in a processing chamber. In one embodiment, MOCVD deposition is accomplished by providing flows of suitable precursors to the processing chamber and using thermal processes to achieve deposition. For example, a GaN layer may be deposited using Ga and nitrogen containing precursors, perhaps with a flow of a fluent gas like N2, H2, and NH3. In one embodiment, HVPE deposition is used. For example, the GaN layer may be deposited using HVPE techniques by flowing a Group III halide vapor formed by reacting a Group III source, such as a gallium (Ga) metal source, with a halide, such as hydrogen chloride (HCl) gas, forming Group III halide vapor. A nitrogen containing precursor, such as ammonia (NH3), is subsequently transported by a separate gas line to a reaction zone in the chamber where it is heated and mixes with the Group III halide vapor, such as GaCl3. A carrier gas is used to carry Group III halide and Group V vapor towards the substrate within the reaction zone. The mixed Group III halide, such as GaCl3, and nitrogen containing precursor, such as ammonia (NH3), carried by the carrier gas is subsequently eptaxially grown into a Group III-V layer (GaN) on the substrate surface.

An InGaN layer may be deposited using Ga, N, and In precursors, perhaps with a flow of a fluent gas. An AlGaN layer may be deposited using Ga, N, and Al precursors, also perhaps with a flow of a fluent gas. In the illustrated structure 100, the GaN buffer layer 108 has a thickness of about 500 Å, and may have been deposited at a temperature of about 550° C. Subsequent deposition of the u-GaN and n-GaN layer 112 is typically performed at a higher temperature, such as around 1,050° C. in one embodiment. The u-GaN and n-GaN layer 112 is relatively thick, with deposition of a thickness on the order of about 4 μm requiring about 140 minutes for deposition. The InGaN multi-quantum-well (MQW) layer 116 may have a thickness of about 750 Å, which may be deposited over a period of about 40 minutes at a temperature of about 750° C. The p-AlGaN layer 120 may have a thickness of about 200 Å, which may be deposited in about five minutes at a temperature from about 950° C. to about 1020° C. The thickness of the contact layer 124 that completes the structure may be about 0.4 μm in one embodiment, and may be deposited at a temperature of about 1,050° C. for around 25 minutes. Additionally, dopants, such as silicon (Si) or magnesium (Mg), may be added to the films. The films may be doped by adding small amounts of dopant gases during the deposition process. For silicon doping, silane (SiH4) or disilane (Si2H6) gases may be used, for example, and for magnesium doping, the dopant gases may include Bis(cyclopentadienyl) magnesium (Cp2Mg or (C5H5)2Mg).

FIG. 1C is a schematic illustration of one example of a GaN based LD structure 150 formed on a substrate 105. The substrate 105 may be similar to the substrate 104 of FIG. 1B.

In one embodiment, the LD structure 150 is formed on the substrate 105 after a thermal cleaning procedure and a pretreatment process. The thermal cleaning procedure may be performed by exposing the substrate 105 to a cleaning gas mixture comprising ammonia and carrier gas while the substrate 105 is being heated. In one embodiment, the pretreatment process comprises exposing the substrate to a pretreatment gas mixture while the substrate is heated an elevated temperature range. In one embodiment, the pretreatment gas mixture is an etching agent comprising a halogen gas.

The LD structure 150 is a stack of formed on the substrate 105. In one embodiment, the LD structure 150 starts from an n-type GaN contact layer 152. The LD structure 150 further comprises an n-type cladding layer 154. The cladding layer 154 may comprise AlGaN. An undoped guide layer 156 is formed over the cladding layer 154. The guide layer 156 may comprise InGaN. An active layer 158 having a multiquantum well (MQW) structure is formed on the guide layer 156. An undoped guide layer 160 is formed over the active layer 158. A p-type electron block layer 162 is formed over the undoped guide layer 160. A p-type contact GaN layer 164 is formed over the p-type electron block layer 162.

The growth of GaN at high temperatures often results in severe parasitic deposition of Ga metal and GaN within the processing chamber, especially on chamber components including the walls, showerhead, and gas distribution assembly of the processing chamber. This parasitic deposition is generally rich in gallium. Gallium rich depositions cause problems due to the nature of gallium itself which acts as a trap, reacting with the gas phase precursors used for deposition of subsequent single layers of LED, such as, for example, tri-methyl indium (TMI), tri-methyl aluminum (TMA), n-type dopants such as silane (SiH4) and disilane (Si2H6), and p-type dopants such as Cp2Mg.

FIG. 2A is a schematic top view illustrating one embodiment of a processing system 200 comprising one HVPE chamber 202 and multiple MOCVD chamber 203a and 203b for fabricating compound nitride semiconductor devices according to embodiments described herein. In one embodiment, the environment within the processing system 200 is maintained as a vacuum environment or at a pressure below atmospheric pressure. In certain embodiments it may be desirable to backfill the processing system 200 with an inert gas such as nitrogen. Although one HVPE chamber 202 and two MOCVD chambers 203a and 203b are shown, it should be understood that any combination of one or more MOCVD chambers with one or more HVPE chambers may also be coupled with the transfer chamber 206. For example, in one embodiment, the processing system 200 may comprise 3 MOCVD chambers. In another embodiment, the processes described herein may be performed in a single MOCVD chamber. It should also be understood that although a cluster tool is shown, the embodiments described herein may be performed using linear track systems.

In one embodiment, an additional chamber 204 is coupled with the transfer chamber 206. In one embodiment, the additional chamber 204 comprises an additional processing chamber such as an MOCVD chamber or an HVPE chamber. In another embodiment, the additional chamber 204 may comprise a metrology chamber. In yet another embodiment, the additional chamber 204 may contain pre-processing or post-processing chambers, such as service chambers that are adapted for degassing, orientation, cool down, pretreatment/preclean, post-anneal and the like. In one embodiment, the transfer chamber is six-sided and hexagonal in shape with six positions for process chamber mounting. In another embodiment, the transfer chamber 206 may have other shapes and have five, seven, eight, or more sides with a corresponding number of process chamber mounting positions.

The HVPE chamber 202 is adapted to perform HVPE processes in which gaseous metal halides are used to epitaxially grow thick layers of compound nitride semiconductor materials on heated substrates. The HVPE chamber 202 comprises a chamber body 214 where a substrate is placed to undergo processing, a chemical delivery module 218 from which gas precursors are delivered to the chamber body 214, and an electrical module 222 that includes the electrical system for the HVPE chamber of the processing system 200.

Each MOCVD chamber 203a, 203b comprises a chamber body 212a, 212b forming a processing region where a substrate is placed to undergo processing, a chemical delivery module 216a, 216b from which gases such as precursors, purge gases, and cleaning gases are delivered to the chamber body 212a, 212b and an electrical module 220a, 220b for each MOCVD chamber 203a, 203b that includes the electrical system for each MOCVD chamber of the processing system 200. Each MOCVD chamber 203a, 203b is adapted to perform CVD processes in which metalorganic elements react with metal hydride elements to form thin layers of compound nitride semiconductor materials.

The processing system 200 comprises a transfer chamber 206 housing a robot assembly 207, an HVPE chamber 202, a first MOCVD chamber 203a, and a second MOCVD chamber 203b coupled with the transfer chamber 206, a loadlock chamber 208 coupled with the transfer chamber 206, a batch loadlock chamber 209, for storing substrates, coupled with the transfer chamber 206, and a load station 210, for loading substrates, coupled with the loadlock chamber 208. The transfer chamber 206 comprises a robot assembly 207 operable to pick up and transfer substrates between the loadlock chamber 208, the batch loadlock chamber 209, the HVPE chamber 202, the first MOCVD chamber 203a, and the second MOCVD chamber 203b.

The transfer chamber 206 may remain under vacuum and/or at a pressure below atmosphere during the process. The vacuum level of the transfer chamber 206 may be adjusted to match the vacuum level of corresponding processing chambers. For example, when transferring a substrate from a transfer chamber 206 into the HVPE chamber 202 (or vice versa), the transfer chamber 206 and the HVPE chamber 202 may be maintained at the same vacuum level. Then, when transferring a substrate from the transfer chamber 206 to the load lock chamber 208 or batch load lock chamber 209 (or vice versa), the transfer chamber vacuum level may match the vacuum level of the loadlock chamber 208 or batch load lock chamber 209 even through the vacuum level of the loadlock chamber 208 or batch load lock chamber 209 and the HVPE chamber 202 may be different. Thus, the vacuum level of the transfer chamber may be adjusted. In certain embodiments it may be desirable to backfill the transfer chamber 206 with an inert gas such as nitrogen. In one embodiment, the substrate is transferred in an environment having greater than 90% N2. In certain embodiments, the substrate is transferred in a high purity NH3 environment. In one embodiment, the substrate is transferred in an environment having greater than 90% NH3. In certain embodiments, the substrate is transferred in a high purity H2 environment. In one embodiment, the substrate is transferred in an environment having greater than 90% H2.

In the processing system 200, the robot assembly transfers a carrier plate 211 under vacuum loaded with substrates into the HVPE chamber 202 to undergo a first deposition process. The carrier plate 211 size may range from 200 mm-750 mm. The carrier plate 211 may be formed from a variety of materials, including SiC or SiC-coated graphite. The robot assembly transfers the carrier plate 211 under vacuum into the first MOCVD chamber 203a to undergo a second deposition process. The robot assembly transfers the carrier plate 211 under vacuum into the second MOCVD chamber 203b to undergo a third deposition process. After all or some of the deposition steps have been completed, the carrier plate 211 is transferred from either the HVPE chamber 202 or one of the MOCVD chambers 203a, 203b back to the loadlock chamber 208. In one embodiment, the carrier plate 211 is then released toward the load station 210. In another embodiment, the carrier plate 211 may be stored in either the loadlock chamber 208 or the batch load lock chamber 209 prior to further processing in the HVPE chamber 202 or MOCVD chambers 203a, 203b. One exemplary system is described in U.S. patent application Ser. No. 12/023,572, filed Jan. 31, 2008, now published as US 2009-0194026, titled PROCESSING SYSTEM FOR FABRICATING COMPOUND NITRIDE SEMICONDUCTOR DEVICES, which is hereby incorporated by reference in its entirety.

A system controller 260 controls activities and operating parameters of the processing system 200. The system controller 260 includes a computer processor and a computer-readable memory coupled to the processor. The processor executes system control software, such as a computer program stored in memory. Aspects of the processing system and methods of use are further described in U.S. patent application Ser. No. 11/404,516, filed Apr. 14, 2006, now published as US 2007-0240631, titled EPITAXIAL GROWTH OF COMPOUND NITRIDE STRUCTURES, which is hereby incorporated by reference in its entirety.

FIG. 3 is a schematic cross-sectional view of an MOCVD chamber 203 (also referred to herein as 203a and 203b) according to embodiments described herein. The MOCVD chamber 203 comprises a chamber body 212, a chemical delivery module 216 for delivering precursor gases, carrier gases, cleaning gases, and/or purge gases, a remote plasma system 326 with a plasma source, a susceptor or substrate support 314, and a vacuum system 312. The chamber 203 includes a chamber body 212 that encloses a processing volume 308. A showerhead assembly 304 is disposed at one end of the processing volume 308, and the carrier plate 211 is disposed at the other end of the processing volume 308. The carrier plate 211 may be disposed on the substrate support 314. The substrate support 314 has z-lift capability for moving in a vertical direction, as shown by arrow 315. In one embodiment, the z-lift capability may be used to move the substrate support either upward and closer to the showerhead assembly 304 or downward and further away from the showerhead assembly 304. In certain embodiments, the substrate support 314 comprises a heating element, for example, a resistive heating element (not shown) for controlling the temperature of the substrate support 314 and consequently controlling the temperature of the carrier plate 211 and substrates 340 positioned on the substrate support 314.

In one embodiment, the showerhead assembly 304 has a first processing gas channel 304A coupled with the chemical delivery module 216 for delivering a first precursor or first process gas mixture to the processing volume 308, a second processing gas channel 304B coupled with the chemical delivery module 216 for delivering a second precursor or second process gas mixture to the processing volume 308 and a temperature control channel 304C coupled with a heat exchanging system 370 for flowing a heat exchanging fluid to the showerhead assembly 304 to help regulate the temperature of the showerhead assembly 304. Suitable heat exchanging fluids include but are not limited to water, water-based ethylene glycol mixtures, a perfluoropolyether (e.g. Galden® fluid), oil-based thermal transfer fluids, or similar fluids. In one embodiment, during processing the first precursor or first process gas mixture may be delivered to the processing volume 308 via gas conduits 346 coupled with the first processing gas channel 304A in the showerhead assembly 304 and the second precursor or second process gas mixture may be delivered to the processing volume 308 via gas conduits 345 coupled with the second gas processing channel 304B. In embodiments where the remote plasma source is used, the plasma may be delivered to the processing volume 308 via conduit 304D. It should be noted that the process gas mixtures or precursors may comprise one or more precursor gases or process gases as well as carrier gases and dopant gases which may be mixed with the precursor gases.

Exemplary showerheads that may be adapted to practice embodiments described herein are described in U.S. patent application Ser. No. 11/873,132, filed Oct. 16, 2007, now published as US 2009-0098276, entitled MULTI-GAS STRAIGHT CHANNEL SHOWERHEAD, U.S. patent application Ser. No. 11/873,141, filed Oct. 16, 2007, now published as US 2009-0095222, entitled MULTI-GAS SPIRAL CHANNEL SHOWERHEAD, and U.S. patent application Ser. No. 11/873,170, filed Oct. 16, 2007, now published as US 2009-0095221, entitled MULTI-GAS CONCENTRIC INJECTION SHOWERHEAD, all of which are incorporated by reference in their entireties.

A lower dome 319 is disposed at one end of a lower volume 310, and the carrier plate 211 is disposed at the other end of the lower volume 310. The carrier plate 211 is shown in process position, but may be moved to a lower position where, for example, the substrates 340 may be loaded or unloaded. An exhaust ring 320 may be disposed around the periphery of the carrier plate 211 to help prevent deposition from occurring in the lower volume 310 and also help direct exhaust gases from the chamber 203 to exhaust ports 309. The lower dome 319 may be made of transparent material, such as high-purity quartz, to allow light to pass through for radiant heating of the substrates 340. The radiant heating may be provided by a plurality of inner lamps 321A and outer lamps 321B disposed below the lower dome 319 and reflectors 366 may be used to help control the chamber 203 exposure to the radiant energy provided by inner and outer lamps 321A, 321B. Additional rings of lamps may also be used for finer temperature control of the substrates 340.

In certain embodiments, a purge gas (e.g., a nitrogen containing gas) may be delivered into the chamber 203 from the showerhead assembly 304 and/or from inlet ports or tubes (not shown) disposed below the carrier plate 211 and near the bottom of the chamber body 212. The purge gas enters the lower volume 310 of the chamber 203 and flows upwards past the carrier plate 211 and exhaust ring 320 and into multiple exhaust ports 309 which are disposed around an annular exhaust channel 305. An exhaust conduit 306 connects the annular exhaust channel 305 to a vacuum system 312 which includes a vacuum pump 307. The chamber 203 pressure may be controlled using a valve system which controls the rate at which the exhaust gases are drawn from the annular exhaust channel. Other aspects of the MOCVD chamber 203 are described in U.S. patent application Ser. No. 12/023,520, filed Jan. 31, 2008, and titled CVD APPARATUS, which is herein incorporated by reference in its entirety.

In certain embodiments, a cleaning gas (e.g., a halogen gas) may be delivered into the chamber 203 from the showerhead assembly 304 and/or from inlet ports or tubes (not shown) disposed near the processing volume 308. The cleaning gas enters the processing volume 308 of the chamber 203 to remove deposits from chamber components such as the substrate support 314 and the showerhead assembly 304 and exits the chamber via multiple exhaust ports 309 which are disposed around the annular exhaust channel 305.

The chemical delivery module 216 supplies chemicals to the MOCVD chamber 203. Reactive gases, carrier gases, purge gases, and cleaning gases are supplied from the chemical delivery system through supply lines and into the chamber 203. In one embodiment, the gases are supplied through supply lines and into a gas mixing box where they are mixed together and delivered to showerhead 304. In another embodiment, the gases are delivered to the showerhead 304 through separate supply lines and mixed within the chamber 203. Generally supply lines for each of the gases include shut-off valves that can be used to automatically or manually shut-off the flow of the gas into its associated line, and mass flow controllers or other types of controllers that measure the flow of gas or liquid through the supply lines. Supply lines for each of the gases may also include concentration monitors for monitoring precursor concentrations and providing real time feedback, backpressure regulators may be included to control precursor gas concentrations, valve switching control may be used for quick and accurate valve switching capability, moisture sensors in the gas lines measure water levels and can provide feedback to the system software which in turn can provide warnings/alerts to operators. The gas lines may also be heated to prevent precursors and cleaning gases from condensing in the supply lines. Depending upon the process used some of the sources may be liquid rather than gas. When liquid sources are used, the chemical delivery module includes a liquid injection system or other appropriate mechanism (e.g. a bubbler) to vaporize the liquid. Vapor from the liquids is then usually mixed with a carrier gas as would be understood by a person of skill in the art.

Remote plasma system 326 can produce plasma for selected applications, such as chamber cleaning or etching residue from a process substrate. In one embodiment, the remote plasma system 326 is a remote microwave plasma system. Plasma species produced in the remote plasma system 326 from precursors supplied via an input line are sent via a conduit for dispersion through the showerhead assembly 304 to the MOCVD chamber 203. Precursor gases for a cleaning application may include chlorine containing gases, fluorine containing gases, iodine containing gases, bromine containing gases, nitrogen containing gases, and/or other reactive elements. Remote plasma system 326 may also be adapted to deposit CVD layers flowing appropriate deposition precursor gases into remote plasma system 326 during a layer deposition process. In one embodiment, the remote plasma system 326 is used to deliver active nitrogen species to the processing volume 308.

The temperature of the walls of the MOCVD chamber 203 and surrounding structures, such as the exhaust passageway, may be further controlled by circulating a heat-exchange liquid through channels (not shown) in the walls of the chamber. The heat-exchange liquid can be used to heat or cool the chamber walls depending on the desired effect. For example, hot liquid may help maintain an even thermal gradient during a thermal deposition process, whereas a cool liquid may be used to remove heat from the system during an in-situ plasma process, or to limit formation of deposition products on the walls of the chamber. The showerhead assembly 304 may also have heat exchanging passages (not shown). Typical heat-exchange fluids water-based ethylene glycol mixtures, oil-based thermal transfer fluids, or similar fluids. This heating, referred to as heating by the “heat exchanger”, beneficially reduces or eliminates condensation of undesirable reactant products and improves the elimination of volatile products of the process gases and other contaminants that might contaminate the process if they were to condense on the walls of cool vacuum passages and migrate back into the processing chamber during periods of no gas flow.

It should be understood that the MOCVD chamber 203 may be modified to accommodate and process substrates in an in-line conveyor processing system, such as processing system 200, by modifying the chamber to include a conveyor.

FIG. 4 is a schematic cross-sectional view of one embodiment of a hydride vapor phase epitaxy (HVPE) apparatus 400 for fabricating compound nitride semiconductor devices according to embodiments described herein. The apparatus includes a chamber 402 enclosed by a lid 404. Processing gas from a first gas source 410 is delivered to the chamber 402 through a gas distribution showerhead 406. In one embodiment, the first gas source 410 may comprise a nitrogen containing compound. In another embodiment, the first gas source 410 may comprise ammonia. In one embodiment, an inert gas such as helium or diatomic nitrogen may be introduced as well either through the gas distribution showerhead 406 or through the walls 408 of the chamber 402. An energy source 412 may be disposed between the gas source 410 and the gas distribution showerhead 406. In one embodiment, the energy source 412 may comprise a heater. The energy source 412 may break up the gas from the gas source 410, such as ammonia, so that the nitrogen from the nitrogen containing gas is more reactive.

To react with the gas from the first source 410, precursor material may be delivered from one or more second sources 418. The one or more second sources 418 may comprise precursors such as gallium and aluminum. It is to be understood that while reference will be made to two precursors, more or less precursors may be delivered as discussed above. In one embodiment, the precursor comprises gallium present in the precursor source 418 in liquid form. In another embodiment, the precursor comprises aluminum present in the precursor source 418 in solid form. In one embodiment, the aluminum precursor may be in solid, powder form. The precursor may be delivered to the chamber 402 by flowing a reactive gas over and/or through the precursor in the precursor source 418. In one embodiment, the reactive gas may comprise a chlorine containing gas such as diatomic chlorine. The chlorine containing gas may react with the precursor source such as gallium or aluminum to form a chloride. In one embodiment, the one or more second sources 418 may comprise eutectic materials and their alloys. In another embodiment, the HVPE apparatus 400 may be arranged to handle doped sources as well as at least one intrinsic source to control the dopant concentration.

In order to increase the effectiveness of the chlorine containing gas to react with the precursor, the chlorine containing gas may snake through the source boat 434 in the chamber 432 and be heated with the resistive heater 420. By increasing the residence time that the chlorine containing gas is snaked through the chamber 432, the temperature of the chlorine containing gas may be controlled. By increasing the temperature of the chlorine containing gas, the chlorine may react with the precursor faster. In other words, the temperature is a catalyst to the reaction between the chlorine and the precursor.

In order to increase the reactiveness of the precursor, the precursor may be heated by a resistive heater 420 within the second chamber 432 in the source boat 434. For example, in one embodiment, the gallium precursor may be heated to a temperature of between about 750 degrees Celsius to about 850 degrees Celsius. The chloride reaction product may then be delivered to the chamber 402. The reactive chloride product first enters a tube 422 where it evenly distributes within the tube 422. The tube 422 is connected to another tube 424. The chloride reaction product enters the second tube 424 after it has been evenly distributed within the first tube 422. The chloride reaction product then enters into the chamber 402 where it mixes with the nitrogen containing gas to form a nitride layer on the substrate 416 that is disposed on a susceptor 414. In one embodiment, the susceptor 414 may comprise silicon carbide. The nitride layer may comprise gallium nitride or aluminum nitride for example. The other reaction product, such as nitrogen and chlorine, is exhausted through an exhaust 426.

The chamber 402 may have a thermal gradient that can lead to a buoyancy effect. For example, the nitrogen based gas is introduced through the gas distribution showerhead 406 at a temperature between about 450 degrees Celsius and about 550 degrees Celsius. The chamber walls 408 may have a temperature of about 600 degrees Celsius to about 700 degrees Celsius. The susceptor 414 may have a temperature of about 1,050 to about 1,150 degrees Celsius. Thus, the temperature difference within the chamber 402 may permit the gas to rise within the chamber 402 as it is heated and then fall as it cools. The raising and falling of the gas may cause the nitrogen gas and the chloride gas to mix. Additionally, the buoyancy effect will reduce the amount of gallium nitride or aluminum nitride that deposits on the walls 408 because of the mixing.

The heating of the processing chamber 402 is accomplished by heating the susceptor 414 with a lamp module 428 that is disposed below the susceptor 414. During deposition, the lamp module 428 is the main source of heat for the processing chamber 402. While shown and described as a lamp module 428, it is to be understood that other heating sources may be used. Additional heating of the processing chamber 402 may be accomplished by use of a heater 430 embedded within the walls 408 of the chamber 402. The heater 430 embedded in the walls 408 may provide little if any heat during the deposition process. A thermocouple may be used to measure the temperature inside the processing chamber. Output from the thermocouple may be fed back to a controller that controls the heating of the heater 430 based upon the reading from the thermocouple. For example, if the chamber is too cool, the heater 430 will be turned on. If the chamber is too hot, the heater 430 will be turned off. Additionally, the amount of heating from the heater 430 may be controlled such that a low amount of heat is provided from the heater 430.

After the deposition process, the substrate 416 is normally taken out of the processing chamber 402. The lamp module 428 is turned off. Without the heat from the lamp module 428, the chamber 402 may rapidly cool. The gallium nitride or aluminum nitride that may have deposited on the walls 408 may have a different coefficient of thermal expansion than the walls 408 themselves. Thus, the gallium nitride or the aluminum nitride may flake off due to thermal expansion. To prevent undesired flaking, the heater 430 embedded within the chamber walls 408 may be turned on to control the thermal expansion and maintain the chamber 402 at the desired chamber temperature. The control of the heater 430 may again be based upon real time feedback from the thermocouple. Once the lamp module 428 is turned off, the heater 430 may be turned on or up to maintain the temperature of the chamber 402 at the desired temperature so that gallium nitride or aluminum nitride may not flake off and contaminate the substrate or land on the susceptor 414 and create an uneven susceptor 414 surface. By maintaining the chamber walls 408 at an elevated temperature, the chlorine will be more effective in cleaning the depositions from the chamber walls 408.

Exemplary Cleaning Process:

FIG. 5 is a flow diagram of one embodiment of a cleaning process 500 that may be used for cleaning substrate processing chambers. As shown in FIG. 5, after deposition of one or more Group-III containing layers over a substrate or other type of substrate processing (block 510) occurs in a substrate processing chamber, the substrate is transferred out of the substrate processing chamber (block 520). In one embodiment, it may be desirable to clean the carrier plate 211 along with the chamber. For example, after the carrier plate 211 is removed from the MOCVD chamber 203, the substrates 340 are removed from the carrier plate 211 and the carrier plate is re-inserted into the MOCVD chamber 203 for cleaning along with the MOCVD chamber 203. In one embodiment, after the carrier plate 211 is removed from the MOCVD chamber 203 the carrier plate 211 is inserted into the HVPE chamber 400 for cleaning. Next an in-situ chamber clean is performed with a halogen containing gas (block 530) to remove unwanted deposition on the interior surfaces of the substrate processing chamber followed by an optional post in-situ clean chamber treatment (block 540).

FIG. 6 is a flow diagram of one embodiment of an in-situ cleaning process 600 that may be used for cleaning substrate processing chambers. In one embodiment, the in-situ cleaning process 600 depicted in FIG. 6 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5.

As shown in FIG. 6, block 610, a cleaning gas is flowed into a processing chamber. The cleaning gas may any suitable halogen containing gas. Suitable halogen containing gases include fluorine containing gases, chlorine containing gases, bromine containing gases, iodine containing gases, other reactive elements, and combinations thereof. In one embodiment, the cleaning gas may comprise at least one of Cl2, Br2, I2, F2, and NF3. In one embodiment, the cleaning gas is chlorine gas (Cl2). In one embodiment, the processing chamber is an MOCVD chamber similar to the chamber 203.

In certain embodiments, the flow rates in the present disclosure are expressed as sccm per interior chamber volume. The interior chamber volume is defined as the volume of the interior of the chamber in which a gas can occupy. For example, the interior chamber volume of chamber 203 is the volume defined by the chamber body 212 minus the volume occupied therein by the showerhead assembly 304 and by the substrate support assembly 314. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 2,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccmL to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 50 sccm/L.

In one embodiment, the cleaning gas may be co-flowed with a carrier gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment, a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 200 Torr. Lower pressure is generally favored to keep GaCl3 in gaseous phase.

In one embodiment, a temperature of the susceptor is from about 600° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is from about 100° C. to about 200° C. The cleaning gas may be flowed into the processing chamber for a time period of about 2 minutes to about 10 minutes. In one embodiment, the cleaning gas may be flowed into the processing chamber for a time period of about 5 minutes. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. The time period of cleaning gas flow should be generally long enough to remove gallium containing deposits, such as gallium and GaN deposits, from the surface of the chamber and the surface of the chamber components including the showerhead. In one embodiment, a carrier gas may be flown in conjunction with the cleaning gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen (N2), helium, neon, and xenon, among others. In one embodiment, the cleaning gas is a plasma containing cleaning gas. The plasma may be in-situ plasma or ex-situ plasma. In embodiments where plasma is used, the temperature during the cleaning process may be much lower.

Referring to block 620, after the flow or pulse of the cleaning gas has ceased, the processing chamber is purged/evacuated to remove cleaning by-products generated during the cleaning process. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included. In one embodiment, the purge gas may be identical to the optional carrier gas of block 610. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 7,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 2,000 sccm to about 4,000 sccm. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 10 Torr. In one embodiment, the total pressure of the chamber may be about 5 Torr. In one embodiment, a temperature of the susceptor is from about 600° C. to about 1,000° C. In one embodiment, the temperature of the susceptor is about 900° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of about 4 to 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products of the cleaning process of block 610 from the processing chamber.

Alternatively, or in addition to introducing the purge gas, the process chamber may be depressurized in order to remove the residual cleaning gas as well as any by-products from the processing chamber. The depressurization process may result in the chamber pressure being reduced to a pressure in the range of about 0.001 Torr to about 40 Torr within a time period of about 0.5 seconds to about 20 seconds.

In embodiments where a carrier gas is used in conjunction with the cleaning gas in block 610, the purge process of block 620 may be performed by ceasing the flow of the cleaning gas while continuing to flow the carrier gas. Thus allowing the carrier gas to function as the purge gas in the purge process of block 620.

As shown in block 630, after the processing chamber is purged/evacuated at block 620 a cleaning gas is optionally flowed into the processing chamber. The cleaning gas may include halogen containing gases as described above. In one embodiment, the cleaning gas is chlorine gas (Cl2). In one embodiment, the cleaning gas in block 630 is identical to the cleaning gas used in block 610. In another embodiment, the cleaning gases used in block 610 and block 630 are different cleaning gases.

In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 1,000 sccm to about 10,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 3,000 sccm to about 5,000 sccm. In one embodiment, the cleaning gas may be flowed into the processing chamber at a flow rate of about 4,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 25 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate from about 75 sccm/L to about 125 sccm/L. In one embodiment, the cleaning gas may be flowed into the processing chamber at a flow rate of about 100 sccm/L. As discussed above, a carrier gas may optionally be co-flowed in conjunction with the cleaning gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 125 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 2,000 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 50 sccm/L to about 75 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure of about 300 Torr to about 700 Torr. In one embodiment, the chamber may be maintained at a total chamber pressure of about 600 Torr. In one embodiment, a temperature of the susceptor is about 400° C. to about 600° C. In one embodiment, the temperature of the susceptor is about 420° C. In one embodiment, a temperature of the showerhead is greater than 200° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C. The cleaning gas may be flowed into the processing chamber for a time period of about 2 minutes to about 10 minutes. In one embodiment, the cleaning gas may be flowed into the processing chamber for a time period of about 3 minutes.

As shown in block 640, after flowing the cleaning gas into the processing chamber, an optional soak process may be performed. During the soak process, the flow of cleaning gas may be reduced while the susceptor temperature, showerhead temperature, and the chamber pressure may be maintained. In one embodiment, the flow rate of the cleaning gas may be reduced relative to the flow rate in block 630 to between about 250 sccm to about 1,000 sccm. In one embodiment, the flow rate of the cleaning gas may be reduced to about 500 sccm. In one embodiment, the flow rate of the cleaning gas may be reduced relative to the flow rate in block 630 to between about 6.25 sccm/L to about 25 sccm/L. In one embodiment, the flow rate of the cleaning gas may be reduced to about 12.5 sccm/L. In one embodiment, a total pressure of the chamber is from about 300 Torr to about 700 Torr. In one embodiment, the total pressure of the chamber is about 600 Torr. In one embodiment, the susceptor temperature is from about 400° C. to about 600° C. In one embodiment, the susceptor temperature is about 420° C. In one embodiment, a temperature of the showerhead is greater than 180° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C. The soak process may be performed for a time period of about 1 minute to about 5 minutes. In one embodiment, the soak process may be performed for a time period of about 2 minutes.

Referring to block 650, after the optional soak process, the processing chamber may be purged/evacuated to remove cleaning by-products generated during the soak and cleaning processes. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the purge gas may be identical to the optional carrier gas of block 640. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 4,000 sccm. In one embodiment, the purge gas may be flowed into the processing chamber at a flow rate of about 3,000 sccm. Optionally, during the purge process the cleaning gas may be flowed into the chamber at a flow rate from about 2,000 sccm to about 6,000 sccm. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 4,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 100 sccm/L. In one embodiment, the purge gas may be flowed into the processing chamber at a flow rate of about 75 sccm/L. Optionally, during the purge process the cleaning gas may be flowed into the chamber at a flow rate from about 50 sccm/L to about 150 sccm/L. In one embodiment, the cleaning gas may be flowed into the chamber at a flow rate of about 100 sccm/L. In one embodiment, the cleaning gas is co-flowed with the purge gas. In one embodiment, the total chamber pressure is from about 0.5 Torr to about 10 Torr. In one embodiment, the total chamber pressure is about 5 Torr. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products of the cleaning process of block 630 and the soak process of block 640 from the processing chamber.

In one embodiment, either or both of the purge processes of block 620 and block 650 may be performed with a nitrogen containing gas such as ammonia (NH3) at an elevated temperature (>1,000° C.) to reduce the amount of residual GaCl3 in the processing chamber after the cleaning process. Optionally, a chamber bake process may be performed in a nitrogen containing and/or hydrogen containing atmosphere at a high temperature from about 950° C. to about 1,050° C. at a low pressure from about 0.001 Torr to about 5 Torr to ensure that any residual deposition from the chamber clean process leave the chamber completely. Other aspects of exemplary cleaning processes are described in U.S. patent application Ser. No. 12/244,440, titled METHOD FOR DEPOSITING GROUP III/V COMPOUNDS, filed Oct. 2, 2008, now published as US 2009-0149008, which is hereby incorporated by reference in its entirety.

FIG. 6B is a flow diagram of one embodiment of an in-situ pulse cleaning process 660 that may be used for cleaning substrate processing chambers. In one embodiment, the in-situ pulse cleaning process 660 depicted in FIG. 6 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5.

The in-situ pulse cleaning process 660 shown in FIG. 6B is similar to the cleaning process 600 shown in FIG. 6A except that the initial purge/evacuation of the substrate processing chamber (block 620) is followed by a pulse/purge process (blocks 670 and 680) to remove unwanted reaction by-products from the substrate processing chamber. In embodiments where the cleaning gas is chlorine, the chlorine gas reacts with the solid material of Gallium Nitride (GaN) deposited onto the interior surfaces of the chamber. Gallium tri-chloride (GaCl3) gas is formed as one of the by-products. GaCl3 is prone to condense on the interior surfaces of the substrate processing chamber. In addition, the condensed GaCl3 serves as a passivation layer on top of any GaN film deposited on the interior surfaces of the chamber, thereby prohibiting the further etching of GaN material, rendering certain in-situ clean processes ineffective.

During the method of pulse cleaning, a halogen containing gas, such as Cl2, is introduced into the substrate processing chamber for a short time span. The short time span limits the amount of GaCl3 by-product generation since only a thin layer of GaN is etched and thus formation of the GaCl3 passivation layer is avoided. A purge process immediately follows to remove the GaCl3 from the reactor before it condenses onto any surface. The pulse/purge sequence may be repeated as many times as desired or until the GaN film on the reactor surface is completed etched away.

As shown in block 670, after the processing chamber is purged/evacuated at block 620 a cleaning gas is pulsed into the processing chamber. The cleaning gas may include halogen containing gases as described above. In one embodiment, the cleaning gas is chlorine gas (Cl2). In one embodiment, the cleaning gas in block 670 is identical to the cleaning gas used in block 610. In another embodiment, the cleaning gases used in block 610 and block 670 are different cleaning gases.

In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 500 sccm to about 1,500 sccm. In one embodiment, the cleaning gas may be pulsed into the processing chamber at a flow rate of about 700 sccm. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas may be pulsed into the chamber at a flow rate from about 12.5 sccm/L to about 37.5 sccm/L. In one embodiment, the cleaning gas may be pulsed into the processing chamber at a flow rate of about 17.5 sccm/L. In one embodiment, the cleaning gas may be pulsed into the processing chamber for a time period of about 5 seconds to about 1 minute. In one embodiment, the cleaning gas may be pulsed into the processing chamber for a time period of about 30 seconds.

As discussed above, a carrier gas may optionally be pulsed in conjunction with the cleaning gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is pulsed into the chamber at a flow rate from about 0 sccm to about 5,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 2,000 sccm to about 3,000 sccm. In one embodiment, the carrier gas is pulsed into the chamber at a flow rate from about 0 sccm/L to about 125 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 50 sccm/L to about 75 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure of about 10 Torr to about 700 Torr. In one embodiment, the chamber may be maintained at a total chamber pressure of between about 0.5 Torr and about 50 Torr. In one embodiment, a temperature of the susceptor is greater than 500° C. In one embodiment, a temperature of the susceptor is about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is greater than 180° C. In one embodiment, the showerhead temperature is greater than 260° C., for example, from about 260° C. to about 400° C.

Referring to block 680, after pulsing the cleaning gas in block 670, the processing chamber may be purged/evacuated to remove cleaning by-products generated during the pulsed cleaning processes. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the purge gas may be identical to the optional carrier gas of block 640. In one embodiment, the processing chamber is purged by providing a pulse of purge gas at a flow rate of about 100 sccm to about 4,000 sccm. In one embodiment, the purge gas may be pulsed into the processing chamber at a flow rate of about 500 sccm. In one embodiment, the processing chamber is purged by providing a pulse of purge gas at a flow rate of about 2.5 sccm/L to about 100 sccm/L. In one embodiment, the purge gas may be pulsed into the processing chamber at a flow rate of about 12.5 sccm/L. In one embodiment, the total chamber pressure is from about 0.5 Torr to about 50 Torr. In one embodiment, the total chamber pressure is about 10 Torr. The purge/evacuation may be performed for a time period of about 5 seconds to about 1 minute. In one embodiment, the purge/evacuation may be performed for a time period of about 30 seconds. The time period of purge/evacuation flow should be generally long enough to remove by-products of the cleaning process of block 670 from the processing chamber.

At block 690, it is determined whether additional pulse/purge cleaning cycles are needed. It should be understood that several pulse/purge cycles of cleaning may apply. If it is determined that additional pulse/purge cleaning cycles are needed, the processes of blocks 670 and 680 may be repeated. In one embodiment, between 10 and 200 pulse/purge cleaning cycles may be performed. In one embodiment, between 50 and 100 pulse/purge cleaning cycles may be performed. The number of cleaning cycles is generally dependent on the thickness of the material deposited on the chamber components during the deposition process. The pulse/purge sequence may be repeated as many times as desired or until the GaN film on the reactor surface is completely etched away. In certain embodiments, about 0.0001 μm/cleaning cycle to about 0.005 μm/cleaning cycle of GaN is removed from the chamber. In one embodiment, 0.003 μm/cleaning cycle is removed from the chamber.

During the final purge of the pulse/purge cleaning cycle a longer purge process similar to the purge process of block 650 may be performed to remove any remaining reaction by-products from the chamber.

FIG. 7 is a flow diagram of one embodiment of an in-situ cleaning process 700 that may be used for cleaning substrate processing chambers. In one embodiment, the in-situ cleaning process 700 depicted in FIG. 7 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5.

At block 710, the processing chamber is purged/evacuated to remove unwanted reaction by-products formed during the deposition process. In one embodiment, the processing chamber is an MOCVD chamber similar to the MOCVD chamber 203. The purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, the total chamber pressure may be varied throughout the purge process. In one embodiment, a power of from about 5 kW to about 20 kW is supplied through the susceptor. In one embodiment, the power supplied through the susceptor is about 10 kW. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge process may last for a time period of between about 30 seconds and about 5 minutes. In one embodiment, the purge process may last for about 90 seconds. The time period of purge gas flow should be generally long enough to remove by-products remaining from the deposition process. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. In one embodiment, between two and ten purge cycles may be performed.

Alternatively, or in addition to introducing the purge gas, the processing chamber may be depressurized in order to remove the residual cleaning gas as well as any by-products from the processing chamber. The depressurization process may result in the chamber pressure being reduced to a pressure in the range of about 0.001 Torr to about 40 Torr. In one embodiment, the depressurization may last for a time period of about 0.5 seconds to about 20 seconds.

At block 720 it is determined whether multiple cycles of purge/evacuation are needed to remove reaction by-products from the processing chamber. If additional cycles are needed, the purge/evacuation process of block 710 is repeated until a desired level of reaction by-products are removed from the processing chamber.

In certain embodiments, a temperature ramp process is performed after the purge/evacuation process of block 720 and prior to the cleaning gas etch 730A or the cleaning gas plasma etch at block 730B. In one embodiment, the temperature of the susceptor may be ramped to a temperature greater than 500° C. In one embodiment, the temperature of the susceptor may be ramped to between about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor may be ramped to about 650° C. The increased temperature of the susceptor helps form reactive radicals of the halogen gas. In one embodiment the temperature ramp process may be performed for a time period from about 15 seconds to about 3 minutes. In embodiments where a plasma source is used, the temperature of the susceptor may be lower since active halogen gas is formed during the plasma process.

Next either a cleaning gas etch at block 730A or a cleaning gas plasma etch at block 730B are performed. The cleaning gas etch of block 730A and the cleaning gas plasma etch of block 730B may include any suitable halogen containing gas as described herein. In one embodiment, the cleaning gas is chlorine gas (Cl2). In embodiments where the cleaning gas is chlorine gas, the chlorine radicals formed by interaction with the heated susceptor will interact with GaN and Ga deposits on the interior surfaces of the chamber. The GaN and Ga deposits are converted to GaCl3 during this chlorination process according to the following reactions (1) and (2) which may then be purged from the chamber.


2Ga+3Cl2→2GaCl3  (1)


2GaN+3Cl2→2GaCl3+N2  (2)

In one embodiment, the cleaning gas etch begins with a high pressure process. High pressure helps increase the reaction rate between the cleaning gas and the unwanted deposition products such as gallium and gallium nitride in the chamber. In one embodiment, a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 100 Torr. In one embodiment, the total pressure in the chamber is about 100 Torr. A higher pressure, such as 100 Torr, helps increase the reaction rate between the cleaning gas and the contaminants such as gallium in the chamber.

During the high pressure process, the cleaning gas may be flowed into the chamber at a flow rate of from about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 2,000 sccm. In one embodiment, during the high pressure process, the cleaning gas may be flowed into the chamber at a flow rate of from about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 50 sccm/L.

In one embodiment, the cleaning gas may be co-flowed with a carrier gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment the high pressure process may be performed for a time period from about two minutes to about 10 minutes.

The flow rate of cleaning gas may be increased during a flow rate ramping process. In one embodiment, where the flow rate of cleaning gas during the high pressure process is about 2,000 sccm, the flow rate of cleaning gas may be increased to about 4,000 sccm during the flow rate ramping process. In one embodiment, where the flow rate of cleaning gas during the high pressure process is about 50 sccm/L, the flow rate of cleaning gas may be increased to about 100 sccm/L during the flow rate ramping process. In one embodiment, the cleaning gas flow rate ramping process is performed in conjunction with the high pressure process. In another embodiment, the cleaning gas flow rate ramping process is performed after the high pressure process. In one embodiment the flow rate ramping process may be performed for a time period from about 15 seconds to about 3 minutes.

At block 730B, in processes where a cleaning gas plasma etch is performed, a halogen gas, such as a chlorine gas plasma may be generated for cleaning/deposition processes. In one embodiment, the plasma may be in-situ plasma. In another embodiment, the plasma may be ex-situ plasma. As described herein, a remote plasma generator may be included as part of the MOCVD chamber hardware. For some embodiments, chlorine gas or plasma may be delivered from above a top plate or delivered through tubes that deliver a Ga-containing precursor. The type of plasma that could be utilized is not limited exclusively to chlorine, but may include fluorine, iodine, or bromine. The source gases used to generate plasma may be halogens, such as Cl2, Br2, F2, or I2, or may be gases that contain Group V elements (e.g., N, P, or As), such as NF3.

At block 740, the chamber pressure is lowered to enhance the rate of evaporation. In one embodiment, the chamber pressure is lowered to from about 1 mTorr to about 5 Torr. In embodiments where chlorine is used as the cleaning gas, lower pressure is generally favored to keep GaCl3 in gaseous phase. In one embodiment, the chamber pressure is partially or completely lowered during the flow rate ramping process. In another embodiment, the chamber pressure is lowered subsequent to the flow rate ramping process. In one embodiment the chamber pressure lowering process may be performed for a time period from about two minutes and about 10 minutes.

At block 750A, another cleaning gas etch process is performed. The cleaning gas etch is performed at a high pressure. In one embodiment, a total pressure of the chamber is from about 5 Torr to about 500 Torr. In one embodiment, the total pressure of the chamber is from about 50 Torr to about 100 Torr. In one embodiment, the total pressure in the chamber is about 100 Torr. The cleaning gas may be flowed into the chamber at a flow rate of about 500 sccm to about 10,000 sccm. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 1,000 sccm to about 4,000 sccm. The cleaning gas may be flowed into the chamber at a flow rate of about 12.5 sccm/L to about 250 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate from about 25 sccm/L to about 100 sccm/L. In one embodiment, the cleaning gas is flowed into the chamber at a flow rate of about 100 sccm/L. In one embodiment, the cleaning gas may be co-flowed with a carrier gas. The carrier gas may be one or more gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 500 sccm to about 3,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 1,000 sccm to about 2,000 sccm. In one embodiment, the carrier gas is flowed into the chamber at a flow rate from about 12.5 sccm/L to about 75 sccm/L. In one embodiment, the carrier gas is flowed into the chamber at a flow rate of from about 25 sccm/L to about 50 sccm/L. In one embodiment, the cleaning gas etch process may be performed for a time period of about 2 minutes to about 10 minutes.

At block 750B, in processes where a cleaning gas plasma etch is performed, Cl2 plasma may be generated for cleaning/deposition processes. In one embodiment, the plasma may be in-situ plasma. In another embodiment, the plasma may be ex-situ plasma. The type of plasma that could be utilized is not limited exclusively to chlorine, but may include fluorine, iodine, or bromine. The source gases used to generate plasma may be halogens, such as Cl2, Br2, F2, or I2, or may be gases that contain Group V elements (e.g., N, P, or As), such as NF3.

In one embodiment, the temperature of the susceptor established during the temperature ramp process may be maintained throughout the process of blocks 730A, 730B, 740, 750A, and 750B. In one embodiment, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is from about 50° C. to about 200° C. In one embodiment a temperature of the showerhead is from about 80° C. to about 100° C. In one embodiment, the cleaning process of blocks 730A, 730B, 740, 750A, and 750B may last for a time period generally long enough to remove gallium containing deposits, such as gallium and GaN deposits, from the surface of the chamber and the surface of the chamber components including the showerhead.

At block 760, it is determined whether additional cleaning cycles are needed. It should be understood that several cycles of cleaning may apply with an optional purge process performed in between cleaning cycles. If it is determined that additional cleaning cycles are needed, the processes of blocks 730A, 730B, 740, 750A, and 750B may be repeated. In one embodiment, between 3 and 10 cleaning cycles may be performed. The number of cleaning cycles is generally dependent on the thickness of the material deposited on the chamber components during the deposition process.

At block 770, the processing chamber is purged/evacuated to remove cleaning by-products formed during the cleaning process. As described above, the purge gas may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of between about 30 seconds and about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products remaining from the cleaning process.

FIG. 8 is a flow diagram of one embodiment of an in-situ cleaning process 800 that may be used for cleaning a substrate processing chamber such as an HVPE chamber. In one embodiment, the in-situ cleaning process 800 depicted in FIG. 8 may be performed as the in-situ chamber clean with halogen gas performed in block 530 of FIG. 5. Exemplary embodiments of an HVPE chamber and other aspects of the HVPE chamber are described in U.S. patent application Ser. No. 11/767,520, filed Jun. 24, 2007, now published as US 2008-0314311, entitled HVPE TUBE SHOWERHEAD DESIGN and commonly assigned U.S. patent application Ser. No. 12/637,019, filed Dec. 14, 2009, 2009, entitled HVPE CHAMBER HARDWARE, both of which are herein incorporated by reference in their entirety.

In certain embodiments, where the HVPE chamber is a hot wall reactor cycling or low pressure may not be required. At block 810 an in-situ halogen containing cleaning gas etch is performed. The cleaning gas etch may be performed using any suitable cleaning gas described herein. In one embodiment, the cleaning gas etch is performed using chlorine gas. In one embodiment, during the cleaning gas etch process, a temperature of the susceptor is greater than about 500° C. In one embodiment, a temperature of the susceptor is from about 550° C. to about 700° C. In one embodiment, the temperature of the susceptor is about 650° C. In one embodiment, during the cleaning gas etch process, the chamber pressure is maintained from about 400 Torr to about 500 Torr. In one embodiment, the chamber pressure is maintained at about 450 Torr. In one embodiment, during the cleaning gas etch process, the flow rate of the cleaning gas is between about 1,000 sccm and about 5,000 sccm. In one embodiment, during the cleaning gas etch process, the flow rate of the cleaning gas is between about 25 sccm/L and about 125 sccm/L. In one embodiment, the cleaning gas etch is performed for a time period long enough to remove contaminants from the processing chamber. In one embodiment, the length of the cleaning gas etch process may vary between about 15 minutes and about 30 minutes.

In one embodiment, a temperature ramp process (block 820) is performed after the cleaning gas etch of block 810 and prior to the optional post in-situ chamber clean process of block 540. In one embodiment, the temperature may be increased from between about 600° C. to about 700° C. to between about 900° C. to about 1,100° C. in preparation for a chamber bake process.

With reference to FIG. 5, after the in-situ chamber clean treatment of block 530, an optional post in-situ chamber clean treatment may be performed. In certain embodiments, the purpose of the post in-situ chamber clean treatment is to remove any residual cleaning by-products, e.g., residual chlorine containing compounds such as GaCl3, which remain in the chamber. During the chamber clean with a halogen gas such as chlorine, coatings on the interior surfaces of the chamber are converted to GaCl3 by the reaction with the chlorine-based cleaning gas. Due to the low vapor pressure of GaCl3, GaCl3 condenses onto cold surfaces within the chamber, including water-cooled chamber walls or water cooled gas inlet ports, such as a showerhead. The residual GaCl3 has the potential to release chlorine into layers such as GaN epitaxial layers during growth, which can be detrimental to the material crystal quality, optical, and electrical properties of the layer. The optional post in-situ chamber clean may be selected from the following processes: a low pressure purge, a pump/purge cycle, a chamber bake process, a showerhead flush process, and combinations thereof.

In one embodiment, the post in-situ chamber clean treatment is a chamber bake process. The chamber bake process may be performed in a nitrogen and/or hydrogen containing atmosphere at a high temperature from about 900° C. to about 1,100° C. In one embodiment, the temperature is between about 900° C. to about 1,000° C. In one embodiment, the temperature is between about 950° C. to about 1,050° C. In one embodiment, the chamber bake process is performed at a low chamber pressure. In one embodiment, the low chamber pressure is from about 0.001 Torr to about 10 Torr to ensure that any residual deposition from the chamber clean process is removed from the chamber. In one embodiment, the chamber pressure is about 7.5 Torr. In one embodiment, the chamber bake process is performed for a time period long enough to ensure that any residual deposition from the chamber clean process has left the chamber. In one embodiment, the bake time may vary between about 15 minutes and about 1 hour. In embodiments where the halogen containing gas is chlorine, the high temperature bake will remove residual GaCl3 deposition from the chamber.

In one embodiment, the chamber bake process may be performed with a nitrogen containing gas such as ammonia (NH3) at an elevated temperature to reduce the amount of residual GaCl3 in the processing chamber after the cleaning process.

In one embodiment, the NH3 treatment is performed by flowing about 1,000 sccm to about 10,000 sccm of NH3 to the coated chamber with temperatures>900° C. and pressures from about 100 Torr to about 760 Torr for a time period of, for example, about 30 minutes. In one embodiment, the NH3 treatment is performed by flowing about 25 sccm/L to about 250 sccm/L of NH3 to the coated chamber. In one embodiment, both the NH3 flow rate and the chamber pressure are varied and/or cycled between lower (e.g. 100 Torr) and higher pressure (760 Torr) and/or lower and higher flow rates throughout the NH3 treatment process. The wide range of NH3 flows and chamber pressures generates turbulent flow patterns inside the chamber which increases the efficiency of NH3 reacting with the chamber coating. Not to be bound by theory but is believed that the turbulent flow patterns enhance the kinetic energy of the NH3 gas yielding a higher reaction rate.

In certain embodiments, the NH3 chamber treatment may be performed in lieu of or in conjunction with the in-situ chamber cleaning processes described herein.

In embodiments where the NH3 chamber treatment is used in lieu of in-situ chamber cleaning, the NH3 chamber treatment stabilizes the unwanted deposition on the interior surfaces of the chamber including the showerhead in a manner such that the crystal and optical quality of subsequently deposited films such as MQWs can be produced at a level of quality equivalent to the quality of films produced prior to chamber contamination. That is, the NH3 chamber treatment enables the production of high quality InGaN MQWs active layers even in the presence of significant coating on the showerhead.

Not to be bound by theory but it is believed that flowing NH3 into the chamber at elevated temperatures of from about 900° C. to 1,150° C. breaks ammonia into atomic nitrogen and hydrogen. When atomic nitrogen is generated the atomic nitrogen reacts with Ga-rich coatings to form stable GaN alloys. Once the coatings are stabilized, the coating does not adversely affect the crystal and optical quality of later deposited films. In certain embodiments, the NH3 treatment efficiency may be enhanced by, for example, shortening the treatment time. High pressure and low pressure NH3 flows are essential to generate turbulent flows inside the chamber and increase the chance of interaction between ammonia and the chamber coating.

In one embodiment, the post in-situ chamber clean treatment is a pump/purge cycle. The purge gas of the pump/purge cycle may be one or more purge gases selected from the group of argon, nitrogen, hydrogen, helium, neon, xenon, and combinations thereof may also be included. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 1,000 sccm to about 30,000 sccm. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 15,000 sccm to about 20,000 sccm. In one embodiment, the processing chamber is purged by providing a purge gas at a flow rate of about 25 sccm/L to about 750 sccm/L. In one embodiment, the purge gas is provided to the processing chamber at a flow rate from about 375 sccm/L to about 500 sccm/L. In one embodiment, the chamber may be maintained at a total chamber pressure from about 0.5 Torr to about 150 Torr. In one embodiment, the total pressure of the chamber may be about 100 Torr. In one embodiment, a temperature of the susceptor is from about 600° C. to about 1,000° C. In one embodiment, the temperature of the susceptor is about 900° C. In one embodiment, a temperature of the showerhead is less than 100° C. In one embodiment, the temperature of the showerhead is maintained at about 80° C. In one embodiment, the purge gas may be flowed into the processing chamber for a time period of between about 30 seconds and about 5 minutes. The time period of purge gas flow should be generally long enough to remove by-products remaining from the cleaning process.

In one embodiment, the post in-situ chamber clean treatment is a low pressure purge in which residual by-products in the chamber are evacuated from the chamber by lowering the pressure within the chamber to between about 0.001 Torr to about 5 Torr.

In one embodiment, the post in-situ chamber clean treatment comprises a showerhead flush process. In certain embodiments, during the in-situ halogen chamber clean, the halogen cleaning gas (e.g. Cl2) flows through the same showerhead gas conduits as precursors (e.g. TMG). Reaction between residual precursor gas in the gas conduit and the cleaning gas may lead to clogging of the gas conduits of the showerhead. In certain embodiments, it is desirable to perform the showerhead flush process prior to performing the in-situ clean. For example, with reference to FIG. 5, a showerhead flush process may be performed after block 520 and prior to block 540. In certain embodiments, in addition to the pre-in-situ chamber cleaning showerhead flush, an additional showerhead flush may be performed after the in-situ cleaning of block 530. For example, the showerhead flush may be performed as part of the post-in-situ clean performed in block 540. In certain embodiments, the showerhead flush may be performed after the chamber bake process described herein. In another embodiment, the showerhead flush may be performed prior to the chamber bake process described herein. In one embodiment, the showerhead flush comprises flowing an inert gas through the conduits of the showerhead through which cleaning gases were previously flowed.

In one embodiment, the inert gas may comprise any of the inert gases and/or purge gases described herein. In one embodiment, the inert gas flows through the gas conduits of the showerhead at a flow rate between about 100 sccm to about 1,000 sccm. The showerhead flush may be performed for a time period sufficient to remove residual precursor deposits from the conduits of the showerhead. In one embodiment, the showerhead flush is performed for a time period between about 2 minutes and about 20 minutes.

In certain embodiment, it may be desirable to combine the optional post in-situ chamber treatments. For example, in one embodiment, a purge/evacuation process may be followed by a chamber bake process.

In certain embodiments, the optional post in-situ chamber treatment may include the deposition of a chamber coating such as GaN or AlN to further reduce any residual chlorine gas remaining in the chamber after the in-situ cleaning process. For example, after the NH3 purge described above, TMGa or TMAl is flown into the chamber with NH3 to form a thin layer of GaN or AlN (between about 10 nm and about 500 nm thick) on the interior surfaces of the chamber. This additional GaN or AlN coating after the NH3 treatment further reduces the chlorine level in subsequently deposited layers.

In certain embodiments, during the cleaning processes described herein it may be desirable to enhance the effects of the cleaning process by increasing the height of the substrate support 314. such that the distance between the substrate support 314 and the showerhead assembly 304 is reduced relative to the distance between the substrate support 314 and the showerhead assembly 304 during processing. It is believed that by decreasing the distance between the showerhead assembly 304 and the substrate support 314 the substrate support 314 heats the showerhead assembly 304 resulting in increased efficiency of the cleaning process. In one embodiment, the susceptor may be positioned from about 3 mm to about 12 mm from the showerhead during the cleaning process. In another embodiment, the susceptor may be positioned from about 5 mm to about 10 mm from the showerhead during the cleaning process. In another embodiment, the susceptor may be positioned less than 10 mm from the showerhead. Typically, during deposition, the distance between the substrate support 314 and the showerhead assembly 304 is 10 mm or greater.

FIG. 9A is a SIMS depth profile of full LED growth after the chamber cleaning process described in FIG. 7. FIG. 9B is a SIMS depth profile of full LED growth after the chamber cleaning process described in FIG. 7. As shown in FIG. 9A and FIG. 9B, the quality of LEDs produced after the clean processes described herein is not affected. As shown in FIG. 9A, a SIMS depth profile chemical composition of a full LED, and InGaN MQWS especially (Ga, In, Al, Si, N, Mg) are not affected by the clean processes described herein. As shown in FIG. 9B, the chlorine level is ˜1×1015 cm−3 and is at SIMS detection limit.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

1. A method for removing unwanted deposition build-up from one or more interior surfaces of a metal organic chemical vapor deposition (MOCVD) processing chamber, comprising:

depositing one or more Group III containing layers over a substrate disposed in the processing chamber;
transferring the substrate out of the processing chamber;
pulsing a halogen cleaning gas into the processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces of the processing chamber; and
pulsing a purge gas into the processing chamber after pulsing the halogen cleaning gas to remove reaction by-products formed from the reaction of the halogen cleaning gas with the unwanted deposition build-up from the processing chamber, wherein the pulsing a purge gas immediately follows the pulsing a halogen cleaning gas to remove reaction by-products from the interior surfaces of the processing chamber before the reaction by-products condense on the interior surface of the substrate processing chamber.

2. The method of claim 1, further comprising:

repeating the pulsing the halogen gas and flowing a purge gas into the substrate processing chamber until a desired portion of the unwanted deposition build-up is removed from the substrate processing chamber.

3. The method of claim 1, wherein the halogen cleaning gas is pulsed into the processing chamber for a time period between five seconds and one minute to limit the amount of reaction by-products generated; and

wherein the purge gas is pulsed into the processing chamber for a time period between about 5 seconds and about 30 seconds to limit the amount of reaction by-products generated.

4. The method of claim 1, wherein the halogen cleaning gas comprises a halogen gas selected from the group comprising fluorine, chlorine, bromine, iodine, and combinations thereof.

5. The method of claim 1, wherein transferring the substrate out of the processing chamber comprises transferring the substrate to a loadlock chamber without exposing the substrate to atmosphere.

6. The method of claim 5, wherein transferring the substrate to a loadlock chamber comprises transferring the substrate in an environment having greater than 90% N2.

7. The method of claim 5, wherein the unwanted chamber deposits comprise Ga and GaN deposited on components of the processing chamber including a showerhead.

8. The method of claim 1, further comprising:

performing a chamber bake process in a nitrogen and/or hydrogen containing atmosphere at a temperature from about 950° C. to about 1,050° C. at a chamber pressure from about 0.001 Torr to about 5 Torr to ensure that any residual deposition from the chamber clean process is removed from the chamber.

9. The method of claim 1, further comprising:

flowing ammonia (NH3) into the substrate processing chamber at a flow rate between about 1,000 sccm to about 10,000 sccm at a temperature greater than 900° C. and at a chamber pressure from about 100 Torr to about 760 Torr.

10. The method of claim 9, wherein the flow rate and the chamber pressure are varied throughout the NH3 treatment process to generate turbulent flow patterns inside the substrate processing chamber for efficient NH3 reaction with the chamber coating.

12. A method for removing unwanted deposition build-up from one or more interior surfaces of a substrate processing chamber, comprising:

positioning a substrate on a susceptor in a processing region of a substrate processing chamber comprising a showerhead for supplying processing gases to the processing region;
depositing one or more gallium containing layers over the substrate disposed in the processing region;
transferring the substrate out of the substrate processing chamber;
pulsing chlorine gas into the substrate processing chamber to remove at least a portion of the unwanted deposition build-up from one or more interior surfaces and the showerhead of the substrate processing chamber; and
pulsing a first purge gas into the processing chamber to remove the chlorine gas and reaction by-products formed from the reaction of the chlorine gas with the unwanted deposition build-up from the substrate processing chamber.

13. The method of claim 12, wherein the chlorine gas is flowed into the processing chamber at a flow rate from about 1,000 sccm to about 4,000 sccm, at a total chamber pressure from about 50 Torr to 100 Torr, a susceptor temperature from about 600° C. to about 700° C., and a showerhead temperature from about 100° C. to about 200° C.

14. The method of claim 13, wherein the purge gas is pulsed into the processing chamber at a flow rate of about 1,000 sccm to about 5000 sccm, at a total chamber pressure of about 0.5 Torr to about 10 Torr, a susceptor temperature of about 900° C., and a showerhead temperature of less than 100° C.

15. The method of claim 14, wherein the cleaning gas is pulsed into the processing chamber at a flow rate of about 3,000 sccm to about 5,000 sccm, at a total chamber pressure of about 300 Torr to about 700 Torr, a susceptor temperature of about 400° C. to about 600° C., and a showerhead temperature from about 260° C. to about 400° C.

16. The method of claim 12, wherein transferring the substrate out of the substrate processing chamber comprises transferring the substrate to a loadlock chamber in an atmosphere having greater than 90% N2.

17. An integrated processing system for manufacturing compound nitride semiconductor devices comprising:

one or more substrate processing chambers operable to form one or more Group III compound nitride semiconductor layers on one or more substrates positioned in the substrate processing chamber;
a halogen gas source coupled with at least one of the one or more substrate processing chambers operable for pulsing a halogen gas into the substrate processing chamber to remove at least a portion of unwanted deposition build-up deposited when forming one or more Group III compound nitride semiconductor layers on the one or more substrates from one or more interior surfaces of the substrate processing chambers; and
a purge gas source coupled with at least one of the one or more substrate processing chamber operable for pulsing purge gas into the one or more substrate processing chamber to remove reaction by-products formed from the reaction of the halogen gas with the unwanted deposition build-up from the substrate processing chamber.

18. The integrated processing system of claim 17, wherein the halogen gas source comprises a halogen gas selected from the group comprising fluorine, chlorine, bromine, iodine, and combinations thereof.

19. The integrated processing system of claim 16, further comprising:

a transfer region in transferable communication with the one or more substrate processing chambers;
a robot assembly disposed in the transfer region for transferring the one or more substrates; and
a loadlock chamber in transferable communication with the transfer region; wherein transferring the one or more substrates comprises transferring the one or more substrates from the one or more substrate processing chambers to a loadlock chamber without exposing the substrate to atmosphere in an environment having greater than 90% N2.

20. The integrated processing system of claim 17, wherein the one or more substrate processing chamber are selected from one or more metalorganic chemical vapor deposition (MOCVD) chambers, one or more hydride vapor phase epitaxy (HVPE) chambers, and combinations thereof.

Patent History
Publication number: 20100273291
Type: Application
Filed: Mar 24, 2010
Publication Date: Oct 28, 2010
Applicant: APPLIED MATERIALS, INC. (Santa Clara, CA)
Inventors: Olga Kryliouk (Sunnyvale, CA), Jie Su (Santa Clara, CA), Kevin Griffin (Livermore, CA), Sung Won Jun (Cupertino, CA), Sandeep Nijwahan (Los Altos, CA), Xizi Dong (Santa Clara, CA), Tze Poon (Sunnyvale, CA), Lori D. Washington (Union City, CA), Jacob Grayson (Midland, MI)
Application Number: 12/731,030