PLASMA PROCESSING APPARATUS AND METHOD

- Tokyo Electron Limited

An apparatus includes an upper electrode and a lower electrode for supporting a wafer disposed opposite each other within a process chamber. A first RF power supply configured to apply a first RF power having a relatively higher frequency is connected to the upper electrode. A second RF power supply configured to apply a second RF power having a relatively lower frequency is connected to the lower electrode. A variable DC power supply is connected to the upper electrode. A process gas is supplied into the process chamber while any one of application voltage, application current, and application power from the variable DC power supply to the upper electrode is controlled, to generate plasma of the process gas so as to perform plasma etching.

Skip to: Description  ·  Claims  · Patent History  ·  Patent History
Description
CROSS-REFERENCE TO RELATED APPLICATIONS

The present application is a continuation of application Ser. No. 13/396,181, filed on Feb. 14, 2012, which is a continuation of application Ser. No. 12/696,323, filed on Jan. 29, 2010, now U.S. Pat. No. 8,137,471, which is a continuation of application Ser. No. 11/156,559, filed on Jun. 21, 2005, now U.S. Pat. No. 7,740,737, which claims the benefit of U.S. Provisional Application No. 60/589,831, filed Jul. 22, 2004; No. 60/650,957, filed Feb. 9, 2005; and No. 60/662,344, filed Mar. 17, 2005, which are based upon and claim the benefit of priority from prior Japanese Patent Application No. 2004-183093, filed Jun. 21, 2004; No. 2005-013912, filed Jan. 21, 2005; and No. 2005-045095, filed Feb. 22, 2005, the entire contents of all of which are incorporated herein by reference.

BACKGROUND OF THE INVENTION

1. Field of the Invention

The present invention relate to a plasma processing apparatus, plasma processing method, and computer readable storage medium, used for performing a plasma process on a target substrate, such as a semiconductor substrate.

2. Description of the Related Art

For example, in manufacturing semiconductor devices, plasma etching processes, which utilize plasma to etch a layer through a resist mask, are often used for forming a predetermined pattern on a predetermined layer disposed on a target substrate or semiconductor wafer.

There are various plasma etching apparatuses for performing such plasma etching, but parallel-plate plasma processing apparatuses of the capacitive coupling type are the ones in mainstream use.

In general, a parallel-plate plasma etching apparatus of the capacitive coupling type includes a chamber with parallel-plate electrodes (upper and lower electrodes) disposed therein. While a process gas is supplied into the chamber, an RF (radio frequency) is applied to one of the electrodes to form an electric field between the electrodes. The process gas is turned into plasma by the RF electric field, thereby performing plasma etching on a predetermined layer disposed on a semiconductor wafer.

More specifically, there is known a plasma etching apparatus in which an RF for plasma generation is applied to the upper electrode to generate plasma, while an RF for ion attraction is applied to the lower electrode (for example, Jpn. Pat. Appln. KOKAI Publication No. 2000-173993 (Patent publication 1)). This plasma etching apparatus can form a suitable plasma state and realize an etching process with high selectivity and high reproducibility.

In recent years, owing to the demands of increased micro-fabrication, the thickness of photo-resist films used as masks is reduced, while the type of photo-resist is shifted from KrF photo-resist (i.e., a photo-resist to be exposed with a laser beam emitted from KrF gas) to ArF photo-resist (i.e., a photo-resist to be exposed with a shorter-wavelength laser beam emitted from ArF gas), which allows formation of pattern opening portions of about 0.13 μm or less.

However, since the ArF photo-resist has poor plasma resistance properties, its surface becomes rough during etching, which is scarcely caused in the KrF resist. Accordingly, problems arise in that vertical lines (striation) are formed on the inner wall of opening portions, or opening portions are expanded (increase in CD). These problems prevent, along with a small thickness of the photo-resist, etching holes from being formed with good etching selectivity.

On the other hand, in etching apparatuses of this kind, if the power level of an RF power for plasma generation applied to the upper electrode is too low, deposited substances (deposition) may remain on the upper electrode after etching, thereby varying process characteristics or producing particles. By contrast, if the power level is too high, the electrode may be etched, thereby bringing about process characteristics different from those obtained by a lower power level. The suitable range of power from the RF power supply depends on the process, and the process should not be fluctuated by the power. Further, in serial etching processes, deposition sticking to the chamber wall causes a memory effect in that a previous process leaves some effect that affects a subsequent process. Accordingly, it is preferable to reduce deposition on the chamber wall.

Furthermore, in parallel-plate etching apparatuses of the capacitive coupling type. where the pressure in the chamber is high and the etching gas in use is a negative gas (for example, CxFy or O2), the plasma density becomes low at the chamber central portion, which makes it difficult to control the plasma density.

On the other hand, owing to the demands of increased miniaturization and higher speed through interconnection lines in semiconductor devices, use of inter-level insulating films having a low dielectric constant proceeds to reduce the parasitic capacitance of interconnection lines. Of the low dielectric constant films (Low-k films) of this kind, SiOC family films have attracted particularly attention.

Where plasma etching is performed on an organic Low-k film, such as an SiOC family film, it is important to ensure a sufficient selectivity between the organic Low-k film and a mask layer or an underlying film of, e.g., silicon nitride. In general, a mixture gas based on a fluorocarbon gas is used as a process gas to provide a relatively high selectivity relative to an underlying film, but it is insufficient as regards selectivity. For this reason, an etching method described below has been proposed in etching an SiOC family film to improve the selectivity relative to a silicon nitride film (for example, Jpn. Pat. Appln. KOKAI Publication No. 2002-270586 (Patent publication 2)). Specifically, plasma etching is performed on an SiOC family inter-level insulating film while a nitride film used as a barrier layer of a Cu interconnection line is utilized as an underlying etching-stopper layer. In this method, C4F8/Ar/N2 is used as a process gas with the flow-rate ratio of Ar set to be 80% or more, thereby improving the selectivity relative to the underlying film.

Further, similarly to Patent publication 2, an etching method described below has been proposed (for example, Jpn. Pat. Appln. KOKAI Publication No. 2004-87875 (Patent publication 3)). Specifically, plasma etching is performed on an SiOC family inter-level insulating film while a silicon nitridefilm is utilized as an underlying etching-stopper layer. This method comprises a first etching step of using CHF3/Ar/N2 as a process gas and a second etching step of using C4F8/Ar/N2 as a process gas, thereby improving the selectivity relative to both of the mask and silicon nitride film.

However, as described above, silicon nitride used for a barrier layer of a Cu interconnection line has good barrier properties, but has a high dielectric constant of 7.0. Accordingly, in order to sufficiently utilize the low dielectric constant property of a Low-k film, such as an SiOC family film, a barrier layer having a still lower dielectric constant is required, the representative of which is silicon carbide (SiC) having a dielectric constant of 3.5.

Where an SiC barrier layer having a low dielectric constant is used as an underlying etching-stopper layer, it is also necessary to ensure a sufficient etching selectivity to etch a Low-k film or etching target layer disposed thereon. However, according to plasma etching using a fluorocarbon family process gas, as described in Patent publication 2 and Patent publication 3, it is difficult to ensure a sufficient etching selectivity between the Low-k film and SiC layer.

BRIEF SUMMARY OF THE INVENTION

The present invention has been made in consideration of the problems described above, and has an object to provide a plasma processing apparatus and plasma processing method, which can realize high selectivity etching while maintaining the plasma resistance properties of a resist layer or organic mask layer at a high level, or can effectively prevent deposition on an electrode, or can realize high rate etching, or can realize uniform etching on a target substrate.

Another object is to provide a plasma processing method which can realize etching on a Low-k film with high etching selectivity relative to an underlying SiC layer used as an etching-stopper layer.

According to a first aspect of the present invention, there is provided a plasma processing apparatus comprising: a process chamber configured to accommodate a target substrate and to be vacuum-exhausted; a first electrode and a second electrode disposed opposite each other within the process chamber, the second electrode being configured to support the target substrate; a first RF power application unit configured to apply a first RF power having a relatively higher frequency to the first electrode; a second RF power application unit configured to apply a second RF power having a relatively lower frequency to the second electrode; a DC power supply configured to apply a DC voltage to the first electrode; a process gas supply unit configured to supply a process gas into the process chamber; and a control unit configured to control any one of application voltage, application current, and application power from the DC power supply to the first electrode.

In this apparatus, it may be adopted that the DC power supply is configured such that any one of application voltage, application current, and application power to the first electrode is variable. In this case, it may be adopted that the control unit is configured to control whether the DC voltage is to be applied or not, from the DC power supply to the first electrode. The apparatus may further comprise a detector configured to detect a generated plasma state, wherein the control unit controls any one of application voltage, application current, and application power from the DC power supply to the first electrode, based on information from the detector.

In the plasma processing apparatus according to the first aspect of the present invention, typically, the first electrode is an upper electrode, and the second electrode is a lower electrode. In this case, the first RF power applied to the first electrode preferably has a frequency of 13.56 MHz or more, and more preferably has a frequency of 40 MHz or more. It is preferable that the second RF power applied to the second electrode has a frequency of 13.56 MHz or less.

In the plasma processing apparatus according to the first aspect of the present invention, it is preferable that the DC power supply is configured to apply a voltage within a range of −2,000 to +1,000V. It is preferable that the DC voltage applied from the DC power supply has an absolute value of 500V or more. It is preferable that the DC voltage is a negative voltage having an absolute value larger than that of a self-bias voltage generated on a surface of the first electrode by the first RF power applied to the first electrode. It may be adopted that a surface of the first electrode facing the second electrode is made of a silicon-containing substance.

In the plasma processing apparatus according to the first aspect of the present invention, it may be adopted that a conductive member regularly grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode. It may be adopted that the conductive member is disposed to form a ring shape around the first electrode. It may be adopted that the grounded conductive member has a recess to prevent flying substances from being deposited during a plasma process.

In the structure described above, it may be adopted that a cover plate is disposed to partly cover the conductive member, and the cover plate is moved relative to the conductive member by a driving mechanism to change a portion of the conductive member to be exposed to plasma. It may be adopted that the conductive member is columnar and partly exposed to plasma, and the conductive member is rotated about a center thereof by a driving mechanism to change a portion of the conductive member to be exposed to plasma. It may be adopted that a cover film having a stepped shape and made of a material to be etched by plasma is disposed to partly cover the conductive member, and the cover film is configured to be etched to change a portion of the conductive member to be exposed to plasma.

In the plasma processing apparatus according to the first aspect of the present invention, it may be adopted that a conductive member to be grounded based on a command from an overall control unit is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode. It may be adopted that the conductive member is disposed to form a ring shape around the first electrode. It may be adopted that the grounded conductive member has a recess to prevent flying substances from being deposited during a plasma process. It may be adopted that the conductive member is grounded during plasma etching.

It may be adopted that the conductive member is configured to be supplied with a DC voltage or AC voltage, and the DC voltage or AC voltage is applied based on a command from an overall control unit to sputter or etch a surface thereof. In this case, it is preferable that the DC voltage or AC voltage is applied to the conductive member during cleaning. The apparatus may further comprise a switching mechanism configured to switch connection of the conductive member between the DC power supply and a ground line, wherein, when the conductive member is connected to the DC power supply by the switching mechanism, the DC voltage or AC voltage is applied from the DC power supply to the conductive member to sputter or etch a surface thereof. In this structure, it is preferable that the conductive member is configured to be supplied with a negative DC voltage. Where a negative DC voltage is applicable, it is preferable that a grounded conductive auxiliary member is disposed within the process chamber to release a DC electron current flowing thereinto when the negative DC voltage is applied to the conductive member. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, the conductive member is disposed near the first electrode, and the conductive auxiliary member is disposed around the second electrode.

In the plasma processing apparatus according to the first aspect of the present invention, the apparatus may further comprise a conductive member disposed within the process chamber and configured to take on either one of a first state and a second state based on a command from an overall control unit, the first state being arranged to ground the conductive member to release through plasma a DC current applied from the DC power supply to the first electrode, and the second state being arranged to apply a DC voltage from the DC power supply to the conductive member to sputter or etch a surface thereof; and a connection switching mechanism disposed to switch between first connection and second connection to form the first state and the second state, respectively, the first connection being arranged to connect a negative terminal of the DC power supply to the first electrode and to connect the conductive member to a ground line, and the second connection being arranged to connect a positive terminal of the DC power supply to the first electrode and to connect the negative terminal of the DC power supply to the conductive member. In this case, it is preferable that the first state is formed during plasma etching, and the second state is formed during cleaning of the conductive member.

According to a second aspect of the present invention, there is provided a plasma processing apparatus comprising: a process chamber configured to accommodate a target substrate and to be vacuum-exhausted; a first electrode and a second electrode disposed opposite each other within the process chamber, the second electrode being configured to support the target substrate: a first RF power application unit configured to apply a first RF power having a relatively higher frequency to the first electrode; a second RF power application unit configured to apply a second RF power having a relatively lower frequency to the second electrode; a DC power supply configured to apply a DC voltage to the first electrode; a process gas supply unit configured to supply a process gas into the process chamber; and a control unit configured to control any one of application voltage, application current, and application power from the DC power supply to the first electrode, wherein the first electrode includes an inner electrode and an outer electrode, the first RF power is divided and applied to the inner electrode and the outer electrode, and the DC power supply is connected to at least one of the inner electrode and the outer electrode.

In the plasma processing apparatus according to the second aspect of the present invention, it may be adopted that the DC power supply is configured such that DC voltages applied to the inner electrode and the outer electrode are variable independently of each other. In this case, it may be adopted that the inner electrode and the outer electrode are supplied with DC voltages from respective DC power supplies. It may be adopted that the power supply has one terminal connected to the inner electrode, and another terminal connected to the outer electrode. In this case, it may be adopted that the DC power supply is configured such that any one of application voltage, application current, and application power is variable.

In this apparatus, it may be adopted that the control unit is configured to control whether the DC voltage is to be applied or not, from the DC power supply to the first electrode. The apparatus may further comprise a detector configured to detect a generated plasma state, wherein the control unit controls any one of application voltage, application current, and application power from the DC power supply to the first electrode, based on information from the detector.

In the plasma processing apparatus according to the second aspect of the present invention, typically, the first electrode is an upper electrode, and the second electrode is a lower electrode. In this case, the first RF power applied to the first electrode preferably has a frequency of 13.56 MHz or more, and more preferably has a frequency of 40 MHz or more. It is preferable that the second RF power applied to the second electrode has a frequency of 13.56 MHz or less.

In the plasma processing apparatus according to the second aspect of the present invention, it may be adopted that the DC power supply is configured to apply a voltage within a range of −2,000 to +1,000V. It is preferable that the DC voltage applied from the DC power supply has an absolute value of 100V or more, and more preferably 500V or more. It is preferable that the DC voltage is a negative voltage having an absolute value larger than that of a self-bias voltage generated on a surface of the first electrode by the first RF power applied to the first electrode. It may be adopted that a surface of the first electrode facing the second electrode is made of a silicon-containing substance.

In the plasma processing apparatus according to the second aspect of the present invention, it may be adopted that a conductive member regularly grounded is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode. It may be adopted that the grounded conductive member is disposed to form a ring shape around the first electrode. It may be adopted that the conductive member has a recess to prevent flying substances from being deposited during a plasma process.

In the structure described above, it may be adopted that a cover plate is disposed to partly cover the conductive member, and the cover plate is moved relative to the conductive member by a driving mechanism to change a portion of the conductive member to be exposed to plasma. It may be adopted that the conductive member is columnar and partly exposed to plasma, and the conductive member is rotated about a center thereof by a driving mechanism to change a portion of the conductive member to be exposed to plasma. It may be adopted that a cover film having a stepped shape and made of a material to be etched by plasma is disposed to partly cover the conductive member, and the cover film is configured to be etched to change a portion of the conductive member to be exposed to plasma.

In the plasma processing apparatus according to the second aspect of the present to invention, it may be adopted that a conductive member to be grounded based on a command from an overall control unit is disposed within the process chamber to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, and the conductive member is disposed around the second electrode, or the conductive member is disposed near the first electrode. It may be adopted that the conductive member is disposed to form a ring shape around the first electrode. It may be adopted that the conductive member has a recess to prevent flying substances from being deposited during a plasma process. It may be adopted that the conductive member is grounded during plasma etching.

It may be adopted that the conductive member is configured to be supplied with a DC voltage or AC voltage, and the DC voltage or AC voltage is applied based on a command from an overall control unit to sputter or etch a surface of the conductive member. In this case, it is preferable that the DC voltage or AC voltage is applied to the conductive member during cleaning. The apparatus may further comprise a switching mechanism configured to switch connection of the conductive member between the DC power supply and a ground line, wherein, when the conductive member is connected to the DC power supply by the switching mechanism, the DC voltage or AC voltage is applied from the DC power supply to the conductive member to sputter or etch a surface thereof. In this structure, it is preferable that the conductive member is configured to be supplied with a negative DC voltage. Where a negative DC voltage is applicable, it is preferable that a grounded conductive auxiliary member is disposed within the process chamber to release a DC electron current flowing thereinto when the negative DC voltage is applied to the conductive member. In this case, it may be adopted that the first electrode is an upper electrode, the second electrode is a lower electrode, the conductive member is disposed near the first electrode, and the conductive auxiliary member is disposed around the second electrode.

In the plasma processing apparatus according to the second aspect of the present invention, the apparatus may further comprise a conductive member disposed within the process chamber and configured to take on either one of a first state and a second state based on a command from an overall control unit, the first state being arranged to ground the conductive member to release through plasma a DC current applied from the DC power supply to the first electrode, and the second state being arranged to apply a DC voltage from the DC power supply to the conductive member to sputter or etch a surface thereof; and a connection switching mechanism disposed to switch between first connection and second connection to form the first state and the second state, respectively, the first connection being arranged to connect a negative terminal of the DC power supply to the first electrode and to connect the conductive member to a ground line, and the second connection being arranged to connect a positive terminal of the DC power supply to the first electrode and to connect the negative terminal of the DC power supply to the conductive member. In this case, it is preferable that the first state is formed during plasma etching, and the second state is formed during cleaning of the conductive member.

According to a third aspect of the present invention, there is provided a plasma processing method using a process chamber with a first electrode and a second electrode disposed opposite each other therein, the second electrode being configured to support a target substrate, the method comprising supplying a process gas into the process chamber, while applying a first RF power having a relatively higher frequency to the first electrode, and applying a second RF power having a relatively lower frequency to the second electrode, to generate plasma of the process gas, thereby performing a plasma process on a target substrate supported by the second electrode, wherein the method comprises: applying a DC voltage to the first electrode; and performing the plasma process on the target substrate while the applying the DC voltage to the first electrode.

In the plasma processing method according to the third aspect of the present invention, typically, the first electrode is an upper electrode, and the second electrode is a lower electrode. In this case, it is preferable that the DC voltage is a negative voltage having an absolute value larger than that of a self-bias voltage generated on a surface of the first electrode by the first RF power applied to the first electrode. It is preferable that the first RF power applied to the upper electrode has a frequency of 13.56 to 60 MHz, and the second RF power applied to the lower electrode has a frequency of 300 kHz to 13.56 MHz. It is preferable that the process gas is a gas containing fluorocarbon. In this case, it is preferable that the gas containing fluorocarbon contains at least C4F8. It may be adopted that the gas containing fluorocarbon further contains an inactive gas. It may be adopted that the insulating film is an organic insulating film. In this case, it may be adopted that the organic insulating film is an SiOC family film. In this case, it is preferable that an underlying film made of silicon carbide (SiC) is disposed under the SiOC family film.

In the plasma processing method according to the third aspect of the present invention, it is preferable that the DC voltage has an absolute value of 1,500V or less. It is preferable that a process pressure is set at 1.3 to 26.7 Pa (10 to 200 mTorr). It is preferable that the first RF power applied to the first electrode is set at 3,000 W or less. It is preferable that the second RF power applied to the second electrode is set at 100 to 5,000 W. It is preferable that the process gas is a mixture gas of C4F8, N2, and Ar, with a flow-rate ratio of C4F8/N2/Ar=[4 to 20]/[100 to 500]/[500 to 1,500] mL/min (sccm). The plasma processing method described above may be applied to an over etching step.

In the plasma processing method according to the third aspect of the present invention, it may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises a combination of C5F8, Ar, and N2 to increase selectivity of the insulating film relative to an underlying film. It may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises CF4 or a combination of C4F8, CF4, Ar, N2, and O2 to increase selectivity of the insulating film relative to a mask. It may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of a combination of C4F6, CF4, Ar, and O2, a combination of C4F6, C3F8, Ar, and O2, and a combination of C4F6, CH2F2, Ar, and O2 to increase an etching rate of the insulating film.

According to a fourth aspect of the present invention, there is provided a plasma processing method using a process chamber with a first electrode and a second electrode disposed opposite each other therein, the first electrode including an inner electrode and an outer electrode, and the second electrode being configured to support a target substrate, the method comprising supplying a process gas into the process chamber, while applying a first RF power having a relatively higher frequency to the first electrode, and applying a second RF power having a relatively lower frequency to the second electrode, to generate plasma of the process gas, thereby performing a plasma process on a target substrate supported by the second electrode, wherein the method comprises: applying a DC voltage to at least one of the inner electrode and the outer electrode; and performing the plasma process on the target substrate while the applying the DC voltage to the first electrode.

In the plasma processing method according to the fourth aspect of the present invention, it may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises a combination of C5F8, Ar, and N2 to increase selectivity of the insulating film relative to an underlying film. It may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises CF4 or a combination of C4F8, CF4, Ar, N2, and O2 to increase selectivity of the insulating film relative to a mask. It may be adopted that the method comprises etching an insulating film on the target substrate supported by the second electrode, wherein the process gas comprises any one of a combination of C4F6, CF4, Ar, and O2, a combination of C4F6, C3F8, Ar, and O2, and a combination of C4F6, CH2F2, Ar, and O2 to increase an etching rate of the insulating film.

According to a fifth aspect of the present invention, there is provided a computer storage medium storing a control program for execution on a computer, wherein the control program, when executed, controls a plasma processing apparatus to perform the plasma processing method according to the third aspect.

According to a sixth aspect of the present invention, there is provided a computer storage medium storing a control program for execution on a computer, wherein the control program, when executed, controls a plasma processing apparatus to perform the plasma processing method according to the fourth aspect.

According to the first aspect of the present invention, the first RF power application unit configured to apply a first RF power having a relatively higher frequency is connected to the first electrode, the second RF power application unit configured to apply a second RF power having a relatively lower frequency is connected to the second electrode for supporting a target substrate, and the DC power supply configured to apply a DC voltage is connected to the first electrode. With this arrangement, when the first RF power for generating plasma of a process gas, and the second RF power for attracting ions onto the target substrate are applied to perform a plasma process, the DC voltage is further applied to the first electrode. As a consequence, it is possible to exercise at least one of (1) the effect of increasing the absolute value of a self-bias voltage to the first electrode to sputter the first electrode surface, (2) the effect of expanding the plasma sheath on the first electrode side to press the plasma, (3) the effect of irradiating the target substrate with electrons generated near the first electrode, (4) the effect of controlling the plasma potential, (5) the effect of increasing the electron (plasma) density, and (6) the effect of increasing the plasma density at the central portion.

With the effect (1) described above, even if polymers derived from a process gas and a photo-resist are deposited on the surface of the first electrode, the polymers are sputtered, thereby cleaning up the surface of the first electrode. Further, an optimum quantity of polymers can be supplied onto the substrate, thereby canceling the surface roughness of the photo-resist film. Further, since the body of the electrode is sputtered, the electrode material can be supplied onto the substrate, thereby reinforcing an organic mask made of, e.g., a photo-resist film.

With the effect (2) described above, the effective residence time above the target substrate is decreased, and the plasma concentrates above the target substrate with less diffusion, thereby reducing the dissociation space. In this case, dissociation of a fluorocarbon family process gas is suppressed for an organic mask made of, e.g., a photo-resist film to be less etched.

With the effect (3) described above, the composition of a mask on the target substrate is reformed and the roughness of the photo-resist film is cancelled. Further, since the target substrate is irradiated with electrons at a high velocity, the shading effect is suppressed and micro-fabrication is thereby improved on the target substrate.

With the effect (4) described above, the plasma potential can be suitably controlled to prevent etching by-products from being deposited on members inside the process chamber, such as the electrodes, chamber wall (e.g., deposition shield), and insulating members.

With the effect (5) described above, the etching rate (etching speed) on the target substrate is improved.

With the effect (6) described above, even where the pressure inside the process chamber is high and the etching gas employed is a negative gas, the plasma density is prevented from being lower at the central portion than at the peripheral portion within the process chamber (suppressing generation of negative ions), so as to control the plasma density to be more uniform.

As a consequence, the plasma resistance property of an organic mask layer made of, e.g., a resist layer remains high, so that the etching can be performed with high selectivity. Alternatively, the electrodes can be effectively free from deposited substances. Alternatively, the etching can be performed on the target substrate at a high rate or uniformly.

According to the second aspect of the present invention, the first electrode is divided into the inner electrode and outer electrode, the first RF power is divided and applied to the inner electrode and outer electrode, and the DC power supply is connected to at least one of them. As a consequence, in addition to the effects described above, the electric field intensities on the inner electrode and outer electrode sides can be changed, so that the plasma density uniformity is improved.

According to the third to sixth aspects of the present invention, a first RF power and a DC voltage are applied to the first electrode to perform etching. As a consequence, it is possible to obtain a sufficient selectivity of an insulating film or etching target layer relative to an underlying film. For example, where the insulating film is an SiOC family film, which is an organic insulating film, and the underlying film is made of silicon carbide, or where the insulating film is an SiO2 film, which is an inorganic insulating film, and the underlying film is made of silicon nitride, it is possible to perform etching while suppressing the underlying film being etched, as far as possible.

Further, by controlling etching conditions, such as RF power, pressure, and gas type, while applying a DC voltage to the first electrode, the etching rate of the SiOC family film or the like can be improved with high selectivity being maintained, as described above. In addition, the etching selectivity of the SiOC family film or the like relative to resist, particularly ArF resist, can be further improved. Further, since increase in the etching rate and control of the etching pattern CD (Critical Dimension) can be attained together, it is possible to realize etching with a high rate and accuracy, as well as improving reliability of the semiconductor device. Furthermore, where etching is performed to form a line-and-space pattern on a target substrate, such as a semiconductor wafer, the line etching roughness (LER) can be reduced.

Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out hereinafter.

BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWING

The accompanying drawings, which are incorporated in and constitute a part of the specification, illustrate presently preferred embodiments of the invention, and together with the general description given above and the detailed description of the preferred embodiments given below, serve to explain the principles of the invention.

FIG. 1 is a sectional view schematically showing a plasma etching apparatus according to an embodiment 1 of the present invention;

FIG. 2 is a view showing a matching unit connected to a first RF power supply in the plasma etching apparatus shown in FIG. 1;

FIG. 3 is a view showing change in Vdc and plasma sheath length where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 1;

FIGS. 4A and 4B are views showing plasma states where a DC voltage is applied to the upper electrode and where no DC voltage is applied to the upper electrode, respectively, in the plasma etching apparatus shown in FIG. 1;

FIG. 5 is a graph showing an etching rate for a photo-resist film, an etching rate for an SiO2 film, and selectivity of the SiO2 film relative to the photo-resist film, where etching is performed on the SiO2 film while the DC voltage applied to the upper electrode is set at different values in the plasma etching apparatus shown in FIG. 1;

FIG. 6 is a view showing a multi-layer film to which serial etching processes are applied;

FIG. 7 is a view showing change in plasma potential waveforms where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 1;

FIG. 8 is a view showing the relationship between the DC voltage applied to the upper electrode and the maximum value of the plasma potential in the plasma etching apparatus shown in FIG. 1;

FIG. 9 is a view showing change in electron density and distribution thereof where the DC voltage applied is set at different values in the plasma etching apparatus shown in FIG. 1;

FIGS. 10A to 10C are views schematically showing etched states at the center and edge obtained by respective values of the DC voltage used in the etching of FIG. 9;

FIG. 11 is a view showing the relationship between the self-bias voltage on the upper electrode surface and the DC voltage applied;

FIG. 12 is a sectional view showing a modification of the plasma etching apparatus shown in FIG. 1, provided with a detector for detecting plasma;

FIG. 13 is a view showing a waveform for suppressing abnormal electric discharge where a DC voltage is applied to the upper electrode in the plasma etching apparatus shown in FIG. 1;

FIG. 14 is a schematic view showing another layout of a GND block;

FIG. 15 is a schematic view showing another layout of the GND block;

FIGS. 16A and 16B are views showing structures for preventing deposition on the GND block;

FIG. 17 is a schematic view showing an example of a device that can remove deposition on the GND block;

FIGS. 18A and 18B are schematic views showing a state in plasma etching and a state in cleaning, respectively, of the device shown in FIG. 1;

FIG. 19 is a schematic view showing another state in plasma etching of the device shown in FIG. 17;

FIG. 20 is a schematic view showing another example of a device that can remove deposition on the GND block;

FIGS. 21A and 21B are schematic views showing a state in plasma etching and a state in cleaning, respectively, of the device shown in FIG. 20;

FIG. 22 is a schematic view showing an example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;

FIG. 23 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;

FIGS. 24A and 24B are schematic views each showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;

FIG. 25 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;

FIG. 26 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;

FIG. 27 is a schematic view showing another example of the GND block having a function to prevent it from losing the grounding performance in the sense of DC;

FIG. 28 is a view showing the electron temperature distribution of RF plasma and DC plasma;

FIG. 29 is a view showing an electron temperature distribution of plasma obtained by solely using an RF power, in comparison with that obtained by applying a DC voltage along with an RF power;

FIGS. 30A and 30B are views for explaining following ability of ions where the bias RF power has a frequency of 2 MHz and a frequency of 13.56 MHz, respectively;

FIG. 31 is a view showing ion energy distributions where the bias RF power has a frequency of 2 MHz and a frequency of 13.56 MHz;

FIGS. 32A and 32B are schematic views showing an example of a wafer sectional structure, which can be etched by the plasma etching apparatus shown in FIG. 1;

FIGS. 33A and 33B are schematic views showing another example of a wafer sectional structure, which can be etched by the plasma etching apparatus shown in FIG. 1;

FIG. 34 is a sectional view schematically showing a plasma etching apparatus according to an embodiment 2 of the present invention;

FIG. 35 is a sectional view schematically showing a main portion of the plasma etching apparatus shown in FIG. 34;

FIG. 36 is a circuit diagram showing an equivalent circuit of a main portion of plasma generating means in the plasma etching apparatus shown in FIG. 34;

FIG. 37 is a view showing the relationship between the capacitance value of a variable capacitor and electric field intensity ratio in the plasma etching apparatus shown in FIG. 34;

FIG. 38 is a view showing a modification of the arrangement to apply a DC voltage to the upper electrode in the plasma etching apparatus shown in FIG. 34; and

FIG. 39 is a view showing another modification of the arrangement to apply a DC voltage to the upper electrode in the plasma etching apparatus shown in FIG. 34.

DETAILED DESCRIPTION OF THE INVENTION

Embodiments of the present invention will be described hereinafter with reference to the accompanying drawings.

An embodiment 1 will be explained first. FIG. 1 is a sectional view schematically showing a plasma etching apparatus according to the embodiment 1 of the present invention.

This plasma etching apparatus is structured as a parallel-plate plasma etching apparatus of the capacitive coupling type. The apparatus includes a cylindrical chamber (process chamber) 10, which is made of, e.g., aluminum with an anodization-processed surface. The chamber 10 is protectively grounded.

A columnar susceptor pedestal 14 is disposed on the bottom of the chamber 10 through an insulating plate 12 made of, e.g., a ceramic. A susceptor 16 made of, e.g., aluminum is disposed on the susceptor pedestal 14. The susceptor 16 is used as a lower electrode, on which a target substrate, such as a semiconductor wafer W, is placed.

The susceptor 16 is provided with an electrostatic chuck 18 on the top, for holding the semiconductor wafer W by an electrostatic attraction force. The electrostatic chuck 18 comprises an electrode 20 made of a conductive film, and a pair of insulating layers or insulating sheets sandwiching the electrode 20. The electrode 20 is electrically connected to a direct current (DC) power supply 22. The semiconductor wafer W is attracted and held on the electrostatic chuck 18 by an electrostatic attraction force, e.g., a Coulomb force, generated by a DC voltage applied from the DC power supply 22.

A conductive focus ring (correction ring) 24 made of, e.g., silicon is disposed on the top of the susceptor 16 to surround the electrostatic chuck 18 (and the semiconductor wafer W) to improve etching uniformity. A cylindrical inner wall member 26 made of, e.g., quartz is attached to the side of the susceptor 16 and susceptor pedestal 14.

The susceptor pedestal 14 is provided with a cooling medium space 28 formed therein and annularly extending therethrough. A cooling medium set at a predetermined temperature, such as cooling water, is circulated within the cooling medium space 28 from a chiller unit (not shown) through lines 30a and 30b. The temperature of the cooling medium is set to control the process temperature of the semiconductor wafer W placed on the susceptor 16.

Further, a heat transmission gas, such as He gas, is supplied from a heat transmission gas supply unit (not shown), through a gas supply line 32, into the interstice between the top surface of the electrostatic chuck 18 and the bottom surface of the semiconductor wafer W.

An upper electrode 34 is disposed above the lower electrode or susceptor 16 in parallel with the susceptor. The space between the electrodes 16 and 34 is used as a plasma generation space. The upper electrode 34 defines a surface facing the semiconductor wafer W placed on the lower electrode or susceptor 16, and thus this facing surface is in contact with the plasma generation space.

The upper electrode 34 is supported at the top of the chamber 10 by an insulating shield member 42. The upper electrode 34 includes an electrode plate 36 defining the facing surface opposite the susceptor 16 and having a number of gas delivery holes 37, and an electrode support 38 detachably supporting the electrode plate 36. The electrode support 38 is made of a conductive material, such as aluminum with an anodization-processed surface, and has a water-cooling structure. The electrode plate 36 is preferably made of a conductor or semiconductor having a low resistivity and thus generating less Joule heat. Further, in order to reinforce a resist film, as described later, the electrode plate 36 is preferably made of a silicon-containing substance. In light of these factors, the electrode plate 36 is preferably made of silicon or SiC. The electrode support 38 has a gas diffusion cell 40 formed therein, which is connected to the gas delivery holes 37 through a number of gas flow channels 41 extending downward.

Further, the electrode support 38 has a gas feed port 62 formed therein for feeding a process gas into the gas diffusion cell 40. The gas feed port 62 is connected to a process gas supply source 66 through a gas supply line 64. The gas supply line 64 is provided with a mass-flow controller (MFC) 68 and a switching valve 70 disposed thereon in this order from the upstream (an FCN may be used in place of the MFC). A process gas for etching, such as a fluorocarbon gas (CxFy), e.g., C4F8 gas, is supplied from the process gas supply source 66 through the gas supply line 64 into the gas diffusion cell 40. Then, the process gas flows through the gas flow channels 41 and is delivered from the gas delivery holes 37 into the plasma generation space, as in a shower device. Accordingly, in other words, the upper electrode 34 functions as a showerhead for supplying a process gas.

The upper electrode 34 is electrically connected to a first RF power supply 48 through a matching unit 46 and a feed rod 44. The first RF power supply 48 outputs an RF power with a frequency of 13.56 MHz or more, such as 60 MHz. The matching unit 46 is arranged to match the load impedance with the internal (or output) impedance of the first RF power supply 48. When plasma is generated within the chamber 10, the matching unit 44 performs control for the load impedance and the output impedance of the first RF power supply 48 to apparently agree with each other. The output terminal of the matching unit 46 is connected to the top of the feed rod 44.

Further, the upper electrode 34 is electrically connected to a variable DC power supply 50 in addition to the first RF power supply 48. The variable DC power supply 50 may be formed of a bipolar power supply. Specifically, the variable DC power supply 50 is connected to the upper electrode 34 through the matching unit 46 and feed rod 44, and the electric feeding can be set on/off by an on/off switch 52. The polarity, current, and voltage of the variable DC power supply 50, and the on/off switch 52 are controlled by a controller (control unit) 51.

As shown in FIG. 2, the matching unit 46 includes a first variable capacitor 54 branched from a feed line 49 of the first RF power supply 48, and a second variable capacitor 56 disposed on the feed line 49 downstream from the branch point, so as to exercise the function described above. The matching unit 46 also includes a filter 58 configured to trap the RF (for example, 60 MHz) from the first RF power supply 48 and the RF (for example, 2 MHz) from a second RF power supply described later, so that a DC voltage current (which will be simply referred to as a DC voltage) is effectively supplied to the upper electrode 34. As a consequence, a DC current is connected from the variable DC power supply 50 through the filter 58 to the feed line 49. The filter 58 is formed of a coil 59 and a capacitor 60 arranged to trap the RF from the first RF power supply 48 and the RF from the second RF power supply described later.

The sidewall of the chamber 10 extends upward above the height level of the upper electrode 34 and forms a cylindrical grounded conductive body 10a. The top wall of the cylindrical grounded conductive body 10a is electrically insulated from the upper feed rod 44 by a tube-like insulating member 44a.

The susceptor 16 used as a lower electrode is electrically connected to a second RF power supply 90 through a matching unit 88. The RF power supplied from the second RF power supply 90 to the lower electrode or susceptor 16 is used for attracting ions toward the semiconductor wafer W. The second RF power supply 90 outputs an RF power with a frequency of 300 kHz to 13.56 MHz, such as 2 MHz. The matching unit 88 is arranged to match the load impedance with the internal (or output) impedance of the second RF power supply 90. When plasma is generated within the chamber 10, the matching unit 88 performs control for the load impedance and the internal impedance of the second RF power supply 90 to apparently agree with each other.

The upper electrode 34 is electrically connected to a low-pass filter (LPF) 92, which prevents the RF (60 MHz) from the first RF power supply 48 from passing through, while it allows the RF (2 MHz) from the second RF power supply 98 to pass through to ground. The low-pass filter (LPF) 92 is preferably formed of an LR filter or LC filter. On the other hand, the lower electrode or susceptor 16 is electrically connected to a high-pass filter (HPF) 94, which allows the RF (60 MHz) from the first RF power supply 48 to pass through to ground.

An exhaust port 80 is formed at the bottom of the chamber 10, and is connected to an exhaust unit 84 through an exhaust line 82. The exhaust unit 84 includes a vacuum pump, such as a turbo molecular pump, to reduce the pressure inside the chamber 10 to a predetermined vacuum level. A transfer port 85 for a semiconductor wafer W is formed in the sidewall of the chamber 10, and is opened/closed by a gate valve 86 attached thereon. A deposition shield 11 is detachably disposed along the inner wall of the chamber 10 to prevent etching by-products (deposition) from being deposited on the wall. In other words, the deposition shield 11 constitutes a chamber wall. A deposition shield 11 is also disposed around the inner wall member 26. An exhaust plate 83 is disposed at the bottom of the chamber 10 between the deposition shield 11 on the chamber wall and the deposition shield 11 on the inner wall member 26. The deposition shield 11 and exhaust plate 83 are preferably made of an aluminum body covered with a ceramic, such as Y2O3.

A conductive member (GND block) 91 is disposed on a portion of the deposition shield 11 that constitutes the chamber inner wall, at a height essentially the same as the wafer W, and is connected to ground in the sense of DC. This arrangement provides the effect of preventing abnormal electric discharge, as described later.

Respective portions of the plasma etching apparatus are connected to and controlled by a control section (overall control unit) 95. The control section 95 is connected to a user interface 96 including, e.g., a keyboard and a display, wherein the keyboard is used for a process operator to input commands for operating the plasma etching apparatus, and the display is used for showing visualized images of the operational status of the plasma processing apparatus.

Further, the control section 95 is connected to a storage section 97 that stores control programs for the control section 95 to control the plasma etching apparatus so as to perform various processes, and programs or recipes for respective components of the plasma etching apparatus to perform processes in accordance with process conditions. Recipes may be stored in a hard disk or semiconductor memory, or stored in a computer readable portable storage medium, such as a CDROM or DVD, to be attached to a predetermined position in the storage section 97.

A required recipe is retrieved from the storage section 97 and executed by the control section 95 in accordance with an instruction or the like through the user interface 96. As a consequence, the plasma etching apparatus can perform a predetermined process under the control of the control section 95. It should be noted that each of the plasma processing apparatuses (plasma etching apparatuses) according to embodiments of the present invention includes such a control section 95.

When an etching process is performed in the plasma etching apparatus described above, the gate valve 86 is first opened, and a semiconductor wafer W to be etched is transferred into the chamber 10 and placed on the susceptor 16. Then, a process gas for etching is supplied from the process gas supply source 66 into the gas diffusion cell 40 at a predetermined flow rate, and then supplied into the chamber 10 through the gas flow channels 41 and gas delivery holes 37. At the same time, the interior of the chamber 10 is exhausted by the exhaust unit 84 to set the pressure inside the chamber 10 to be a predetermined value within a range of, e.g., 0.1 to 150 Pa. The process gas may be selected from various gases conventionally employed, and preferably is a gas containing a halogen element, a representative of which is a fluorocarbon gas (CxFy), such as C4F8 gas. Further, the process gas may contain another gas, such as Ar gas or O2 gas.

While the etching gas is supplied into the chamber 10, an RF power for plasma generation is applied from the first RF power supply 48 to the upper electrode 34 at a predetermined power level. At the same time, an RF for ion attraction is applied from the second RF power supply 90 to the lower electrode or susceptor 16 at a predetermined power level. Also, a predetermined DC voltage is applied from the variable DC power supply 50 to upper electrode 34. Further, a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W on the susceptor 16.

The process gas delivered from the gas delivery holes 37 formed in the electrode plate 36 of the upper electrode 34 is turned into plasma by glow discharge caused by the RF power applied across the upper electrode 34 and the lower electrode or susceptor 16. Radicals and ions generated in this plasma are used to etch the target surface of the semiconductor wafer W.

As described above, a first RF power for plasma generation is applied to the upper electrode 34 to adjust the plasma density. At the same time, a second RF power for ion attraction is applied to the lower electrode or susceptor 16 to adjust the voltage. As a consequence, the plasma control margin can be set broader.

In this embodiment, when plasma is generated, the upper electrode 34 is supplied with an RF power within a range covering high frequencies (for example, 10 MHz or more). As a consequence, the plasma density is increased with a preferable state, so that high density plasma is generated even under a low pressure condition.

When the plasma is thus generated, a DC voltage with a predetermined polarity and value is applied from the variable DC power supply 50 to the upper electrode 34. At this time, the application electrode or upper electrode 34 is preferably set to have a self bias voltage Vdc on the surface, at a level for obtaining a predetermined (moderate) sputtering effect onto the surface, i.e., the surface of the electrode plate 36. In other words, the application voltage from the variable DC power supply 50 is preferably controlled by the controller 51 to increase the absolute value of Vdc on the surface of the upper electrode 34. Where the RF power applied from the first RF power supply 48 is low, polymers are deposited on the upper electrode 34. However, since a suitable DC voltage is applied from the variable DC power supply 50, polymers deposited on the upper electrode 34 are sputtered, thereby cleaning up the surface of the upper electrode 34. Further, an optimum quantity of polymers can be supplied onto the semiconductor wafer W, thereby canceling the surface roughness of the photo-resist film. Where the voltage applied from the variable DC power supply 50 is adjusted to sputter the body of the upper electrode 34, the electrode material can be supplied onto the surface of the semiconductor wafer W. In this case, the photo-resist film is provided with carbide formed on the surface, and is thereby reinforced. Further, the sputtered electrode material reacts with F contained in a fluorocarbon family process gas and is exhausted, thereby reducing the F ratio in plasma for the photo-resist film to be less etched. Particularly, where the electrode plate 36 is made of a silicon-containing material, such as silicon or SiC, sputtered silicon from the surface of the electrode plate 36 reacts with polymers, so the photo-resist film is provided with SiC formed on the surface, and is thereby remarkably reinforced. In addition to this, Si is highly reactive with F, and the effects described above are enhanced. Accordingly, a silicon-containing material is preferably used as a material of the electrode plate 36. It should be noted that, in this case, the application current or application power may be controlled in place of the application voltage from the variable DC power supply 50.

The DC voltage thus applied to the upper electrode 34 to make a deep self bias voltage Vdc, as described above, increases the length of a plasma sheath formed on the upper electrode 34, as shown in FIG. 3. As the length of the plasma sheath is increased, the plasma is further pressed by that much. For example, where no DC voltage is applied to the upper electrode 34, Vdc on the upper electrode side becomes, e.g., −300V. In this case, the plasma sheath has a small length d0, as shown in FIG. 4A. On the other hand, where a DC voltage of −900V is applied to the upper electrode 34, Vdc on the upper electrode side becomes −900V. In this case, since the length of the plasma sheath is in proportion to ¾ of the absolute value of Vdc, the plasma sheath has a larger length d1, and the plasma is pressed by that much, as shown in FIG. 4B. Where the length of the plasma sheath is thus increased to suitably press the plasma, the effective residence time above the semiconductor wafer W is decreased. Further, the plasma concentrates above the wafer W with less diffusion, thereby reducing the dissociation space. In this case, dissociation of a fluorocarbon family process gas is suppressed for the photo-resist film to be less etched. Accordingly, the application voltage from the variable DC power supply 50 is preferably controlled by the controller 51, such that the length of the plasma sheath on the upper electrode 34 is increased to a level for forming desired pressed plasma. It should be noted that, also in this case, the application current or application power may be controlled in place of the application voltage from the variable DC power supply 50.

Further, when the plasma is formed, electrons are generated near the upper electrode 34. When a DC voltage is applied from the variable DC power supply 50 to the upper electrode 34, electrons are accelerated in the vertical direction within the process space due to the potential difference between the applied DC voltage value and plasma potential. In other words, the variable DC power supply 50 can be set at a desired polarity, voltage value, and current value, to irradiate the semiconductor wafer W with electrons. The radiated electrons reform the composition of the mask or photo-resist film to reinforce the film. Accordingly, the application voltage value and application current value from the variable DC power supply 50 can be used to control the quantity of electrons generated near the upper electrode 34 and the acceleration voltage for accelerating the electrons toward the wafer W, so that the photo-resist film is reinforced in a predetermined manner.

Particularly, where the photo-resist film on the semiconductor wafer W is a photo-resist film (which will be referred to as an ArF resist film) for an ArF excimer laser (with a wavelength of 193 nm), the ArF resist film changes its polymer structure through reactions shown in the following chemical formulas (1) and (2), and is then irradiated with electrons, thereby arriving at the structure shown on the right side of the following chemical formula (3). In this case, by the irradiation with electrons, the composition of the ArF resist film is reformed (resist cross-linkage reaction), as shown in a portion d of the chemical formula (3). Since this portion d has a function of greatly enhancing the etching resistance property (plasma resistance property), the etching resistance property of the ArF resist film remarkably increases. As a consequence, the surface roughness of the ArF resist film is suppressed, and the etching selectivity of an etching target layer relative to the ArF resist film is increased.

Accordingly, the application voltage value or current value from the variable DC power supply 50 is preferably controlled by the controller 51 to enhance the etching resistance property of the photo-resist film (particularly, ArF resist film) by irradiation with electrons.

Further, as described above, when a DC voltage is applied to the upper electrode 34, electrons generated near the upper electrode 34 in plasma generation are accelerated in the vertical direction within the process space. The polarity, voltage value, and current value of the variable DC power supply 50 can be set at predetermined conditions, so that electrons reach into holes formed on the semiconductor wafer W. As a consequence, the shading effect is suppressed to obtain a good processed shape without bowing, while improving the uniformity of the processed shape.

It is assumed that electron current amount IDC due to the DC voltage is used as the quantity of electrons incident on the wafer W, where the acceleration voltage of electrons is controlled. In this case, where Iion is ion current amount incident on the wafer from plasma, it is preferable to satisfy IDC (½)Iion. Since Iion=Zρvione (where, Z is charge number, ρ is current velocity density, vion is ion velocity, and e is electron charge amount 1.6×10−19C), and p is in proportion to electron density Ne, Iion is in proportion to Ne.

As described above, the DC voltage applied to the upper electrode 34 can be controlled, so as to exercise the sputtering function onto the upper electrode 34 and the plasma pressing function, as well as the supply function of supplying a large quantity of electrons generated at the upper electrode 34 to the semiconductor wafer W, as described above. This arrangement makes it possible to reinforce the photo-resist film, supply optimum polymers, and suppress dissociation of the process gas. As a consequence, the surface roughness of the photo-resist is suppressed, and the etching selectivity of an etching target layer relative to the photo-resist film is increased. Further, the CD of an opening portion formed in the photo-resist film is prevented from expanding, thereby realizing pattern formation with high accuracy. Particularly, these effects are more enhanced by controlling the DC voltage to suitably exercise the three functions described above, i.e., the sputtering function, plasma pressing function, and electron supply function.

It should be noted that, it depends on process conditions or the like to determine which one of the functions described above is predominant. The voltage applied from the variable DC power supply 50 is preferably controlled by the controller 51 to exercise one or more of the functions to effectively obtain the corresponding effects.

Next, an explanation will be give of the result of a case where the functions described above were utilized to improve the selectivity of an SiO2 film disposed as an etching target film relative to a photo-resist film. In this case, the electrode plate 36 of the upper electrode 34 was made of silicon, an RF power with a frequency of 60 MHz was applied at 100 to 3,000 W from the first RF power supply 48 to the upper electrode 34, an RF power with a frequency of 2 MHz was applied at 4,500 W from the second RF power supply 90 to the lower electrode or susceptor 16, and the etching gas was C4F6/Ar/O2. Under these conditions, the application voltage from the variable DC power supply 50 was set at different values to measure change in etching rates for the photo-resist film and SiO2 film and change in selectivity of the SiO2 film relative to the photo-resist film. FIG. 5 shows the results. As shown in FIG. 5, as the absolute value of the negative DC voltage applied to the upper electrode 34 increases, the selectivity of the SiO2 film relative to the photo-resist film becomes higher. Where the absolute value is larger than that of −600V, the selectivity rapidly increases. Accordingly, it has been confirmed that the selectivity of the SiO2 film relative to the photo-resist film is remarkably improved where a negative DC voltage with an absolute value larger than that of −600V is applied to the upper electrode 34.

The DC voltage applied to the upper electrode 34 can be adjusted to control the plasma potential. In this case, etching by-products can be prevented from being deposited on the upper electrode 34, the deposition shield 11 forming a part of the chamber wall, the inner wall member 26, and the insulating shield member 42.

If etching by-products are deposited on the upper electrode 34 or the deposition shield 11 forming the chamber wall, a problem may arise in that the process characteristics change or particles are generated. Particularly, there is involving sequentially etching a multi-layered film, such as that shown in FIG. 6, in which an Si-organic film (SiOC) 101, SiN film 102, SiO2 film 103, and photo-resist 104 are laminated on a semiconductor wafer W in this order. In this case, since suitable etching conditions are different for the respective films, a memory effect may occur in that a previous process leaves some effect that affects a subsequent process.

The amount of deposition of etching by-products described above depends on the potential difference between the plasma and the upper electrode 34, chamber wall, or the like. Accordingly, deposition of etching products can be suppressed by controlling the plasma potential.

FIG. 7 is a view showing change in plasma potential waveforms where a DC voltage is applied to the upper electrode 34. FIG. 8 is a view showing the relationship between the DC voltage value applied to the upper electrode and the maximum value of the plasma potential. As shown in FIGS. 7 and 8, where a negative DC voltage is applied to the upper electrode 34, the maximum value of the plasma potential becomes lower with increase in the absolute value of the voltage. Accordingly, the plasma potential can be controlled by the DC voltage applied to the upper electrode 34. This is so, because, where the upper electrode 34 is supplied with a DC voltage with an absolute value larger than a self bias (Vdc) obtained by an RF power applied to the upper electrode 34, the absolute value of Vdc becomes larger, thereby lowering the plasma potential. More specifically, the value of the plasma potential has been determined by raise given by the upper electrode to the plasma potential. However, where a negative voltage with a high absolute value is applied to the upper electrode, the entire voltage amplitude on the upper electrode comes into the negative potential side. In this case, the plasma potential is determined by the potential of the wall, and thus becomes lower.

As described above, the voltage applied from the variable DC power supply 50 to the upper electrode 34 can be controlled to lower the plasma potential. As a consequence, etching by-products can be prevented from being deposited on the upper electrode 34, the deposition shield 11 forming a part of the chamber wall, and the insulating members (members 26 and 42) inside the chamber 10. The plasma potential Vp is preferably set at a value within a range of 80V≦Vp≦200V.

Further, the DC voltage applied to the upper electrode 34 can be controlled to effectively exercise the plasma potential control function, in addition to the sputtering function onto upper electrode 34, plasma pressing function, and electron supply function, as described above.

Further, the applied DC voltage contributes to formation of plasma, as another effect obtained by the DC voltage applied to the upper electrode 34. In this case, the plasma density can be higher and the etching rate is thereby increased.

This is so, because, the negative DC voltage applied to the upper electrode hinders electrons from entering the upper electrode and thus suppresses extinction of electrons. Further, where the negative DC voltage accelerates ions onto the upper electrode, electrons are emitted from the electrode. These electrons are accelerated at a high velocity due to the difference between the plasma potential and application voltage value, and ionize neutral gas (turn the gas into plasma), thereby increasing the electron density (plasma density).

Further, when plasma is generated, the DC voltage applied to the upper electrode 34 from the variable DC power supply 50 relatively increases the plasma density at the central portion due to plasma diffusion. Where the pressure inside the chamber 10 is high and the etching gas is a negative gas, the plasma density tends to be lower at the central portion of the chamber 10. However, since the DC voltage applied to the upper electrode 34 increases the plasma density at the central portion, the plasma density can be controlled to perform uniform etching. It should be noted that, since the etching characteristics cannot be defined only by the plasma density, a plasma density with higher uniformity does not necessarily improve the etching uniformity.

The reason for this will be explained, with reference to experiments.

In the apparatus shown in FIG. 1, a semiconductor wafer was loaded into the chamber and placed on the susceptor, and a BARC (organic anti-reflection film) and an etching target film were etched. When the BARC was etched, the first RF power was set at 2,500 W, the second RF power was set at 2,000 W, and the process gas employed was CH2F2, CHF3, Ar, and O2. When the etching target film was etched to form a hole, the first RF power was set at 1,500 W, the second RF power was set at 4,500 W, and the process gas employed was CH4F6, CF4, Ar, and O2. At this time, the DC voltage applied to the upper electrode was set at different values of −800V, −1,000V, and −1,200V. FIG. 9 shows distributions of electron density (plasma density) in the radial direction obtained in this case. As shown in FIG. 9, as the absolute value of the DC voltage increases from −800V to −1,200V, the electron density increases at the center, which improves the plasma density uniformity. FIGS. 10A to 10C schematically show etched shapes at the center and edge obtained in this case. As shown in FIGS. 10A to 10C, as the DC voltage changes from −800V to −1,000V, the etching uniformity is improved. On the other hand, as the DC voltage changes from −1,000V to −1,200V, although the electron density uniformity is improved, the etching performance becomes too high at the center and thus the etching uniformity is deteriorated. Accordingly, it has been confirmed that the etching uniformity is the best at −1,000V. In any case, the DC voltage can be adjusted to perform uniform etching.

As described above, the DC voltage applied to the upper electrode 34 can be controlled to effectively exercise at least one of the above-described sputtering function onto the upper electrode 34, plasma pressing function, electron supply function, plasma potential control function, electron density (plasma density) increase function, and plasma density control function.

The variable DC power supply 50 may be formed of the one that can apply a voltage within a range of −2,000 to +1,000V. In order to effectively exercise the various functions described above, the application DC voltage from the variable DC power supply 50 is preferably set to have an absolute value of 500V or more.

Further, the application DC voltage is preferably a negative voltage with an absolute value larger than the self-bias voltage generated on the surface of the upper electrode by the first RF power applied to the upper electrode 34.

An explanation will be given of an experiment performed to confirm this matter. FIG. 11 is a graph showing the relationship between the self-bias voltage Vdc generated on the surface of the upper electrode 34 and the DC voltage applied to the upper electrode 34, where the RF power for plasma generation (60 MHz) applied from the first RF power supply 48 to the upper electrode 34 is set at different power values. In this case, the self-bias voltage Vdc generated on the surface of the upper electrode 34 was measured while plasma was generated under the following conditions. Specifically, the pressure inside the chamber was set at 2.7 Pa, the RF power applied to the upper electrode 34 at different values of 650 W, 1,100 W, and 2,200 W, the RF power applied to the lower electrode or susceptor 16 at 2,100 W, the process gas flow rates of C4F6/Ar/O2 at 25/700/26 mL/min, the distance between the upper and lower electrodes at 25 mm, the back pressure (central portion/edge portion) at 1,333/4,666 Pa, the temperature of the upper electrode 34 at 60° C., the sidewall temperature of the chamber 10 at 50° C., and the temperature of the susceptor 16 at 0° C.

As shown in the graph of FIG. 11, the application DC voltage is effective where it is higher than the self-bias voltage Vdc on the upper electrode 34, and, as the RF power applied to the upper electrode 34 is higher, the generated negative self-bias voltage Vdc is larger. Accordingly, the application DC voltage is required to be a negative voltage with an absolute value larger than the self-bias voltage Vdc generated by the RF power. To reiterate, it has been confirmed that the DC voltage applied to the upper electrode 34 is preferably set to have an absolute value at least larger than the self-bias voltage Vdc generated on the upper electrode.

Further, as shown in FIG. 12, a detector 55 for detecting the state of plasma through, e.g., a plasma detection window 10a may be disposed for the controller 51 to control the variable DC power supply 50 based on the detection signal, so that the DC voltage applied to the upper electrode 34 can be automatically adjusted to effectively exercise the functions described above. Furthermore, a detector for detecting the sheath length or a detector for detecting the electron density may be disposed for the controller 51 to control the variable DC power supply 50 based on the detection signal.

Where the plasma etching apparatus according to this embodiment is used to etch an insulating film (for example, a Low-k film) disposed on a wafer W, the following combination of gases is particularly preferably used as a process gas.

Specifically, where over etching is performed under via-etching conditions, a combination of C5F8, Ar, and N2 may be preferably used as a process gas. In this case, the selectivity of an insulating film relative to an underlying film (SiC, SiN, etc.) can become larger.

Alternatively, where trench etching conditions are used, CF4 or a combination of (C4F8, CF4, Ar, N2, and O2) may be preferably used as a process gas. In this case, the selectivity of an insulating film relative to a mask can become larger.

Alternatively, where HARC etching conditions are used, a combination of (C4F6. CF4, Ar, and O2), (C4F6, C3F8, Ar, and O2), or (C4F6, CH2F2, Ar, and O2) may be preferably used as a process gas. In this case, the etching rate of an insulating film can become higher.

The process gas is not limited to the examples described above, and another combination of (CxHyFz gas/additive gas such as N2 or O2/dilution gas) may be used.

Incidentally, where a DC voltage is applied to the upper electrode 34, electrons may accumulate on the upper electrode 34 and thereby cause abnormal electric discharge between the upper electrode 34 and the inner wall of the chamber 10. In order to suppress such abnormal electric discharge, this embodiment includes the GND block (conductive member) 91 as a part grounded in the sense of DC, which is disposed on the deposition shield 11 that constitutes the chamber wall. The GND block 91 is exposed to plasma, and is electrically connected to a conductive portion in the deposition shield 11. The DC voltage current applied from the variable DC power supply 50 to the upper electrode 34 flows through the process space to the GND block 91, and is then grounded through the deposition shield 11. The GND block 91 is made of a conductor, and preferably a silicon-containing substance, such as Si or SiC. The GND block 91 may be preferably made of C. The GND block 91 allows electrons accumulated in the upper electrode 34 to be released, thereby preventing abnormal electric discharge. The GND block 91 preferably has a protruding length of 10 mm or more.

Further, in order to prevent abnormal electric discharge, it may be effective to use a method of superposing very short periodic pulses of the opposite polarity, as shown in FIG. 13, by a suitable means, with the DC voltage applied to the upper electrode 34, so as to neutralize electrons.

The position of the GND block 91 is not limited to that shown in FIG. 1, as long as it is disposed in the plasma generation area. For example, as shown in FIG. 14, the GND block 91 may be disposed on the susceptor 16 side, e.g., around the susceptor 16. Alternatively, as shown in FIG. 15, the GND block 91 may be disposed near the upper electrode 34, e.g., as a ring disposed outside the upper electrode 34. However, when plasma is generated, Y2O3 or a polymer that covers the deposition shield 11 or the like flies out and may be deposited on the GND block 91. In this case, the GND block 91 cannot maintain the grounding performance any more in the sense of DC, and thus hardly exercises the effect of preventing abnormal electric discharge. Accordingly, it is important to prevent such deposition. For this reason, the GND block 91 is preferably located at a position remote from members covered with Y2O3 or the like, but preferably near parts made of an Si-containing substance, such as Si or quartz (SiO2). For example, as shown in FIG. 16A, an Si-containing member 93 is preferably disposed near the GND block 91. In this case, the length L of a portion of the Si-containing member 93 below the GND block 91 is preferably set to be equal to or longer than the protruding length M of the GND block 91. Further, in order to prevent the function from being deteriorated due to deposition of Y2O3 or a polymer, as shown in FIG. 16B, it is effective to form a recess 91a in the GND block 91 where flying substances are hardly deposited. It is also effective to increase the surface are of the GND block 91, so that it cannot be entirely covered with Y2O3 or a polymer. Further, in order to suppress deposition, it is effective to increase the temperature. In this respect, the upper electrode 34 is supplied with an RF power for plasma generation, and thus increases the temperature around it. Accordingly, the GND block 91 is preferably disposed near the upper electrode 34, as shown in FIG. 15, to increase the temperature and thereby prevent deposition. Particularly in this case, the GND block 91 is preferably disposed as a ring outside the upper electrode 34, as shown in FIG. 15.

In order to more effectively remove the influence of deposition on the GND block 91, due to Y2O3 or a polymer flying out from the deposition shield 11 and so forth, it is effective to make a negative DC voltage applicable to the GND block 91, as shown in FIG. 17. Specifically, where a negative DC voltage is applied to the GND block 91, deposition sticking thereto is sputtered or etched, so as to clean the surface of the GND block 91. In the structure shown in FIG. 17, a switching mechanism 53 is configured to switch the connection of the GND block 91 between the variable DC power supply 50 and a ground line, so that a voltage can be applied to the GND block 91 from the variable DC power supply 50. Further, a grounded conductive auxiliary member 91b is disposed to receive flow of a DC electron current generated by a negative DC voltage applied to the GND block 91. The switching mechanism 53 includes a first switch 53a to switch the connection of the variable DC power supply 50 between the matching unit 46 and GND block 91, and a second switch 53b to turn on/off the connection of the GND block 91 to the ground line. In the structure shown in FIG. 17, the GND block 91 is disposed as a ring outside the upper electrode 34, while the conductive auxiliary member 91b is disposed around the susceptor 16. Although this arrangement is preferable, another arrangement may be adopted.

During plasma etching, the structure shown in FIG. 17 is typically set as shown in FIG. 18A, in which the first switch 53a of the switching mechanism 53 is connected to the upper electrode 34, so the variable DC power supply 50 is connected to the upper electrode 34, while the second switch 53b is in the ON-state, so the GND block 91 is connected to the ground line. In this state, the first RF power supply 48 and variable DC power supply 50 are electrically connected to the upper electrode 34, and plasma is thereby generated. At this time, a DC electron current flows from the upper electrode 34 through plasma into the grounded GND block 91 and conductive auxiliary member 91b (a positive ion current flows in the opposite direction). In this case, the surface of the GND block 91 may be covered with deposition of Y2O3 or a polymer, as described above.

Accordingly, cleaning is then performed to remove this deposition. For this cleaning, as shown in FIG. 18B, the first switch 53a of the switching mechanism 53 is switched to the GND block 91, and the second switch 53b is turned off. In this state, the first RF power supply 48 is electrically connected to the upper electrode 34, and cleaning plasma is thereby generated, while a negative DC voltage is applied from the variable DC power supply 50 to the GND block 91. As a consequence, a DC electron current flows from the GND block 91 into the conductive auxiliary member 91b. On the other hand, positive ions flow into the GND block 91. Accordingly, the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91, so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91.

Further, as shown in FIG. 19, the second switch 53b may be set in the OFF state during a partial period of plasma etching, so that the GND block 91 is in a floating state. At this time, a DC electron current flows from the upper electrode 34 through plasma into the conductive auxiliary member 91b (a positive ion current flows in the opposite direction). In this case, the GND block 91 is given a self bias voltage, which provides energy for positive ions to be incident on the GND block 91, thereby cleaning the GND block 91.

During the cleaning described above, the application DC voltage can be small, and thus the DC electron current is also small at this time. Accordingly, in the structure shown in FIG. 17, where electric charges due to leakage current can be prevented from accumulating in the GND block 91, the conductive auxiliary member 91b is not necessarily required.

In the structure shown in FIG. 17, for cleaning, the connection of the variable DC power supply 50 is switched from the upper electrode 34 to the GND electrode 91, so that a DC electron current due to application of a DC voltage flows from the GND block 91 to the conductive auxiliary member 91b. Alternatively, it may be adopted that the positive terminal of the variable DC power supply 50 is connected to the upper electrode 34, while the negative terminal is connected to the GND block 91, so that a DC electron current due to application of a DC voltage flows from the GND block 91 to the upper electrode 34. In this case, the conductive auxiliary member is not necessary. FIG. 20 shows such a structure. The structure shown in FIG. 20 includes a connection switching mechanism 57, which is configured to perform connection switching such that, during plasma etching, the negative terminal of the variable DC power supply 50 is connected to the upper electrode 34, while the GND block 91 is connected to the ground line. Further, in this switching, during cleaning, the positive terminal of the variable DC power supply 50 is connected to the upper electrode 34, while the negative terminal is connected to the GND block 91. This connection switching mechanism 57 includes a first switch 57a to switch the connection of the variable DC power supply 50 to the upper electrode 34 between the positive terminal and negative terminal, a second switch 57b to switch the connection of the variable DC power supply 50 to the GND block 91 between the positive terminal and negative terminal, and a third switch 57c to set the positive terminal or negative terminal of the variable DC power supply 50 to be grounded. The first switch 57a and second switch 57b are arranged to form an interlock switch structure. Specifically, when the first switch 57a is connected to the positive terminal of the variable DC power supply 50, the second switch 57b is connected to the negative terminal of the DC power supply. Further, when the first switch 57a is connected to the negative terminal of the variable DC power supply 50, the second switch 57b is set in the OFF state.

During plasma etching, the structure shown in FIG. 20 is set as shown in FIG. 21A, in which the first switch 57a of the connection switching mechanism 57 is connected to the negative terminal of the variable DC power supply 50, so the negative terminal of the variable DC power supply 50 is connected to the upper electrode 34. Further, the second switch 57b is connected to the positive terminal of the variable DC power supply 50, and the third switch 57c is connected to the positive terminal of the variable DC power supply 50 (the positive terminal of the variable DC power supply 50 is grounded), so that the GND block 91 is connected to the ground line. In this state, the first RF power supply 48 and variable DC power supply 50 are electrically connected to the upper electrode 34, and plasma is thereby generated. At this time, a DC electron current flows from the upper electrode 34 through plasma into the grounded GND block 91 (a positive ion current flows in the opposite direction). In this case, the surface of the GND block 91 may be covered with deposition of Y2O3 or a polymer, as described above.

On the other hand, for cleaning, as shown in FIG. 21B, the first switch 57a of the connection switching mechanism 57 is switched to the positive terminal of the variable DC power supply 50, the second switch 57b is switched to the negative terminal of the variable DC power supply 50, and the third switch 57c is set to be in a disconnected state. In this state, the first RF power supply 48 is electrically connected to the upper electrode 34, and cleaning plasma is thereby generated, while a DC voltage is applied to the GND block 91 from the negative terminal of the variable DC power supply 50 and to the upper electrode 34 from the positive terminal of the variable DC power supply 50. Due to the potential difference between these members, a DC electron current flows from to the GND block 91 into the upper electrode 34, while positive ions flow into the GND block 91. Accordingly, the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91, so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91. In this case, the variable DC power supply 50 appears to be in a floating state, but, in general, a power supply is provided with a frame ground line, thus is safe.

In the example described above, although the third switch 57c is in the disconnected state, the positive terminal of the variable DC power supply 50 may be kept in the connected state (the positive terminal of the variable DC power supply 50 is grounded). In this state, the first RF power supply 48 is electrically connected to the upper electrode 34, and cleaning plasma is thereby generated, while a DC voltage is applied from the negative terminal of the variable DC power supply 50 to the GND block 91. As a consequence, a DC electron current flows from the GND block 91 into the upper electrode 34 through plasma, while positive ions flow into the GND block 91. Also in this case, the DC voltage can be adjusted to control the energy of positive ions incident on the GND block 91, so that the surface of the GND block 91 is sputtered by ions to remove deposition sticking to the surface of the GND block 91.

In the examples shown in FIGS. 17 and 20, although a DC voltage is applied to the GND block 91 during cleaning, an AC voltage may be alternatively applied. Further, in the example shown in FIG. 17, although the variable DC power supply 50 for applying a DC voltage to the upper electrode is used for applying a voltage to the GND block 91, another power supply may be used for applying the voltage. Furthermore, in the examples shown in FIGS. 17 and 20, although the GND block 91 is grounded during plasma etching, while a negative DC voltage is applied to the GND block 91 during cleaning, this is not limiting. For example, during plasma etching, a negative DC voltage may be applied to the GND block 91. The term, “during cleaning” may be replaced with “during ashing” in the explanation described above. Furthermore, where the variable DC to power supply 50 is formed of a bipolar power supply, it does not require any complex switching operation, such as that of the connection switching mechanism 57 described above.

The switching operations of the switching mechanism 53 of the example shown in FIG. 17 and the connection switching mechanism 57 of the example shown in FIG. 20 are performed in accordance with commands sent from the control section 95.

In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC, due to deposition of Y2O3 or a polymer on the GND block 91 in plasma generation, it is effective to partly cover the GND block 91 with another member, and to move them relative to each other so as to expose a new surface of the GND block 91. Specifically, the arrangement shown in FIG. 22 may be adopted, in which the GND block 91 is set to have a relatively large area, and the surface of the GND block 91 to be in contact with plasma is partly covered with a mask member 111 movable in the arrow direction. This cover plate 111 is movable, so that a portion to be exposed to plasma can be changed on the surface of the GND block 91. In this case, although a driving mechanism disposed in the chamber 10 may cause a problem about particle generation, it cannot be serious because the frequency of use of the driving mechanism is as low as once in 100 hours. Further, for example, the arrangement shown in FIG. 23 may be effective, in which a columnar GND block 191 is rotatably disposed, and the outer periphery surface of the GND block 191 is covered with a mask member 112, so that it is partially exposed. Where the GND block 191 is rotated, the portion to be exposed to plasma can be changed. In this case, a driving mechanism may be disposed outside the chamber 10. Each of the mask members 111 and 112 may be formed of a member having a high plasma resistance property, such as an aluminum plate covered with a ceramic, such as Y2O3, formed by thermal spray.

In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC due to deposition, it is also effective to partly cover the GND block 91 with another member, which is to be gradually etched by plasma, so that a part of the surface of the GND block 91, which has not lost conductivity, is always exposed. For example, the arrangement shown in FIG. 24A may be adopted, in which the surface of the GND block 91 is partly covered with a stepped cover film 113 disposed to leave an initially exposed surface 91c that provides a grounding performance. In this case, after a plasma process is performed for, e.g., 200 hours, the initially exposed surface 91c of the GND block 91 loses conductivity, as shown in FIG. 24B. However, the stepped cover film 113 is designed to have a thin portion such that it has been etched by this time, so that a new exposed surface 91d of the GND block 91 appears. The new exposed surface 91d provides a grounding performance. This cover film 113 has the effect of preventing a wall surface material from being deposited on the GND block 91, as well as the effect of reducing ions incident on the GND block 91 to prevent contamination thereof.

In practical use, as shown in FIG. 25, it is preferable to use a cover film 113a in which a number of thin layers 114 are stacked while the layers are gradually shifted. In this case, where one layer 114 disappears due to etching by plasma in a time Te, and an exposed surface of the GND block 91 loses conductivity due to contamination in a time Tp, the thickness of the layer 114 is set to satisfy Te<Tp, so that a conductive surface is always ensured on the GND block 91. The number of layers 114 is preferably set to make the service life of the GND block 91 longer than the frequency of maintenance. Further, in order to improve the maintenance performance, one layer 114a is provided with a different color from the others, as shown in FIG. 25, so that it is possible to know the time to replace the GND block 91 with a new one, by this film 114a, for example, when the surface area of this film 114a exceeds a certain value.

Each of the cover films 113 and 113a is preferably formed of a film to be suitably etched by plasma, such as a photo-resist film.

In order to simply prevent the GND block 91 from losing the grounding performance in the sense of DC due to deposition, it may be also adopted to dispose a plurality of GND blocks 91, so that they are switched in turn to exercise a grounding performance. For example, as shown in FIG. 26, three GND blocks 91 are disposed and only one of them is selectively grounded by a shift switch 115. Further, a current sensor 117 is disposed on a common ground line 116 to monitor a DC current flowing therethrough. The current sensor 117 is used to monitor a current flowing through a grounded GND block 91, and when the current value becomes lower than a predetermined value, it is determined that this GND block 91 cannot exercise the grounding performance, and thus the connection is switched from this one to another GND block 91. The number of GND blocks 91 is suitably selected from a range of about 3 to 10.

In the example described above, a GND block not grounded is in an electrically floating state, but such a GND block may be supplied with an electric potential for protection to protect a GND block in an idle state, in place of use of the shift switch 115. FIG. 27 shows an example designed in this aspect. As shown in FIG. 27, each of ground lines 118 respectively connected to GND blocks 91 is provided with a variable DC power supply 119. In this case, the voltage of a GND block 91 to exercise a grounding performance is set at 0V by controlling the voltage of the corresponding variable DC power supply 119. Further, the voltage of each of the other GND blocks 91 is set at, e.g., 100V to prevent an electric current from flowing therethrough by controlling the voltage of the corresponding variable DC power supply 119. When the current value detected thereby becomes lower than a predetermined value at the current sensor 117 on the ground line 118 connected to a GND block 91 to exercise a grounding performance, it is determined that this GND block 91 cannot exercise the grounding performance. Accordingly, the voltage of the variable DC power supply 119 corresponding to another GND block 91 is controlled to be a value for this GND block 91 to exercise a grounding performance.

As described above, where the application voltage from a DC power supply 119 is set at a negative value of about −1 kV, the GND block 91 connected thereto can function as an electrode to apply a DC voltage to plasma. However, if this value is too large, the plasma is affected. Further, the voltage applied to the GND block 91 can be controlled to obtain a cleaning effect on the GND block 91.

Next, a detailed explanation will be given of plasma obtained by superposing the RF power and DC voltage applied to the upper electrode 34, according to this embodiment.

FIG. 28 is a view showing the electron temperature distribution of plasma, where the horizontal axis denotes the electron temperature and the vertical axis denotes the plasma intensity. In order to obtain high density plasma, it is effective to use an RF power with a relatively high frequency that ions cannot follow, such as 13.56 MHz or more, as described above. However, in the case of the electron temperature distribution of plasma (RF plasma) obtained by an RF power application, an intensity peak appears within an excitation domain having lower electron temperature, as indicated by a line A (case A) in FIG. 28. As the power is increased to obtain a higher plasma density, the intensity becomes higher within a dissociation domain having middle electron temperature. As a consequence, dissociation of a fluorocarbon gas (CxFy), such as C4F8 gas, used as the etching process gas, proceeds, thereby deteriorating etching characteristics.

On the other hand, the electron temperature distribution of plasma (DC plasma) obtained by a DC voltage application is indicated by a line B (case B) in FIG. 28. In this case, the plasma density is almost equal to that corresponding to the line A (case A), but an intensity peak appears within an ionization domain having higher electron temperature, while essentially no distribution part is present within the excitation domain or dissociation domain. Where a DC voltage is superposed on an RF power of 13.56 MHz or more, a high plasma density can be obtained without increasing the power level of the RF power. Further, the plasma thus formed is polarized such that the electron temperature has intensity peaks within the excitation domain and ionization domain. This brings about ideal plasma that can reduce dissociation of the process gas with the same plasma density.

This arrangement will be specifically explained further with reference to FIG. 29. FIG. 29 is a view showing the electron temperature distribution of plasma obtained by solely using an RF power, in comparison with that obtained by superposing a DC voltage on an RF power. In FIG. 29, a line C shows a case where an RF power with a frequency of 60 MHz was applied at 2,400 W to the upper electrode 34, while an RF power with a frequency of 2 MHz for attracting ions was applied at 1,000 W to the lower electrode or susceptor 16. On the other hand, a line D shows a case where RF powers of 60 MHz and 2 MHz were also respectively applied to the upper electrode 34 and susceptor 16, and a DC voltage was further applied to the upper electrode 34. In the case of the line D, the RF power and DC voltage applied to the upper electrode 34 were respectively set at 300 W and −900V to obtain the same plasma density as that of the case of the line C. As shown in FIG. 29, where the DC voltage is superposed, high density plasma is formed at this same density while being polarized with almost no part of the electron temperature distribution within the dissociation domain. In this case, the electron temperature distribution can be controlled to obtain a more suitable plasma state by changing the frequency and power level of the RF power and the value of the DC voltage, both of which are applied to the upper electrode 34.

As described above, as the frequency of the RF power applied to the upper electrode 34 is lower, the plasma has a higher energy and Vdc is increased. In this case, dissociation of the process gas is promoted, thus the control margin concerning the DC voltage application becomes narrower. However, where the frequency of the RF power applied to the upper electrode 34 is set at 40 MHz or more, e.g., 60 MHz, the plasma has a lower energy, and thus the control margin concerning the DC voltage application becomes broader. Accordingly, the frequency of the RF power applied to the upper electrode 34 is preferably set at 40 MHz or more.

Next, an explanation will be given of a bias RF power for attracting ions, applied to the lower electrode or susceptor 16. The RF power applied from second RF power supply 90 to the susceptor 16 is a bias RF power for attracting ions, which can provide different effects depending on whether the frequency (RF application frequency) is less than 10 MHz or 10 MHz or more. Specifically, where the RF application frequency is less than 10 MHz, e.g., 2 MHz, ions can follow the RF application frequency, in general. In this case, as shown in FIG. 30A, the ion energy incident on the wafer corresponds to the wafer potential, which varies in accordance with the RF power voltage waveform. On the other hand, where the bias RF frequency is 10 MHz or more, e.g., 13.56 MHz, ions cannot follow the RF application frequency, in general. In this case, as shown in FIG. 30B, the ion energy incident on the wafer depends not on the wafer potential, but on Vdc. In the case of a frequency (e.g., 2 MHz) that ions can follow, as shown in FIG. 30A, the ion maximum energy corresponds to Vpp. Further, at a portion where the difference between the plasma potential and wafer potential is smaller, the ion energy becomes smaller. In this case, as indicated by a line E in the ion energy distribution shown in FIG. 31, the ion energy on the wafer is polarized and broader. On the other hand, in the case of a frequency (e.g., 13.56 MHz) that ions cannot follow, as shown in FIG. 30B, the ion energy corresponds to Vdc without reference to the wafer potential. In this case, as indicated by a line F in FIG. 31, the ion energy on the wafer takes on the maximum value near a portion corresponding to Vdc, while almost no ions have a higher energy than Vdc.

Accordingly, a frequency of less than 10 MHz that ions can follow is suitable where higher ion energy is required to increase productivity. On the other hand, a frequency of 10 MHz or more that ions cannot follow is suitable where lower ion energy is required, such as a case where the surface roughness of a mask is critical. Accordingly, the frequency of the bias RF power is preferably selected in accordance with the intended purpose.

In the examples described above, a DC voltage is applied to exercise the sputtering function on the upper electrode 34, plasma pressing function, electron supply function, and so forth, but an AC voltage can provide the same effects. However, the frequency of the AC voltage is set to be smaller than the frequency of an RF power for plasma generation. In either of the cases using the DC voltage and AC voltage, the voltage may be pulsed or modulated, such as AM modulation or FM modulation.

Incidentally, there is a case where a low dielectric constant barrier layer made of SiC is used as an underlying etching-stopper layer to etch a Low-k film or etching target layer on the upper side. According to the prior art, it is difficult to ensure a sufficient etching selectivity at this time. In this respect, the plasma etching apparatus according to this embodiment is used to perform etching, while a DC voltage is superposed with a first RF power applied to the upper electrode 34, so as to effectively exercise the functions described above. As a consequence, a Low-k film, such as an SiOC film, used as an insulating film can be etched at a high etching selectivity relative to an underlying etching-stopper layer.

FIGS. 32A and 32B show a wafer sectional structure used as a typical etching target in this etching. As shown in FIG. 32A, this wafer W includes an underlying film or SiC layer 201, an insulating film or SiOC family film 202, an SiO2 film 203, and an anti-reflection film or BARC 204 stacked from the under side in this order. Further, an etching mask or ArF resist 205 patterned in a predetermined shape is disposed on the anti-reflection film 204. The SiOC family film 202 is a Low-k film containing Si, O, C, and H as components, the representatives of which are, e.g., SiLK (Trade name; Dow Chemical Ltd.), MSQ of SOD-SiOCH (methyl-silsesqui-siloxane), CORAL of CVD-SiOCH (Trade name; Novellus Systems Ltd.), and Black Diamond (Trade name; Applied Materials Ltd.). In place of the SiOC family film 202, the etching target may be another Low-k film, such as an organic Low-k film, e.g., a PAE family film, HSQ family film, PCB family film, or CF family film; or an inorganic Low-k film, e.g., an SiOF family film.

The underlying film or SiC layer 101 may be formed of, e.g., BLOk (Trade name; Applied Materials Ltd.).

As shown in FIG. 32B, this wafer W is etched by plasma of a fluorocarbon (CF family) gas to form a recess (trench or hole) 211 in accordance with the mask pattern of the ArF resist 205. In this plasma etching, a DC voltage is superposed to the upper electrode 34 to ensure a sufficient selectivity between the underlying layer or SiC layer 201 and the etching target layer or SiOC family film 202. In this case, the DC voltage applied from the variable DC power supply 50 to the upper electrode 34 is preferably set to be 0 to −1,500V. Further, the etching conditions are preferably set as follows: for example, the pressure inside the chamber=1.3 to 26.7 Pa; RF power (upper electrode/lower electrode)=0 to 3,000 W/100 to 5,000 W; the process gas=a mixture gas of C4F8, N2, and Ar; the flow-rate ratio of C4F8/N2/Ar=4 to 20/100 to 500/500 to 1,500 mL/min.

In an experiment, a sample of a multi-layer structure was prepared, as shown in FIG. 32A, and etched by the apparatus shown in FIG. 1. Using the ArF resist 205 having a via (hole) pattern as a mask, the SiOC family film 202 was etched until the underlying film or SiC layer 201 was exposed, to form a via. The etching was performed under the following etching conditions 1 and 2, and comparison was made in etching characteristics between a case where a DC voltage of −900V was applied to the upper electrode 34 (present examples 1 and 2), and a case where no DC voltage was applied (comparative examples 1 and 2). Table 1 shows the results.

<Etching Conditions 1>

Pressure inside the chamber=6.7 Pa;

RF power (upper electrode/lower electrode)=400 W/1,500 W;

Process gas flow rates of C4F8/Ar/N2=6/1,000/180 mL/min;

Distance between the upper and lower electrodes=35 mm;

Process time=25 to 35 seconds;

Back pressure (helium gas: central portion/edge portion)=2,000/5,332 Pa;

Upper electrode 34 temperature=60° C.;

Chamber 10 sidewall temperature=60° C.; and

Susceptor 16 temperature=0° C.

<Etching Conditions 2>

The conditions were set to be the same as those of the etching conditions 1 except for RF power (upper electrode/lower electrode)=800 W/2,500 W.

As shown in Table 1, in either of the cases using the etching conditions 1 and the etching conditions 2, the present examples 1 and 2 with a DC voltage of −900V applied to the upper electrode 34 greatly improved both of the selectivity relative to SiC and the selectivity relative to resist, as compared with the comparative examples 1 and 2 with no DC voltage application, under the same conditions.

Further, in these cases, the etching rate was remarkably improved while the CD (Critical Dimension) at the via top portion was prevented from expanding. According to the conventional etching technique, it is difficult to realize both of improvement in the etching rate and control of the CD (i.e., preventing CD expansion). By contrast, it has been confirmed that the application of a DC voltage described above can realize both of them.

TABLE 1 Comparative Present example 1 example 1 (DC 0 V) (DC −900 V) Etching conditions 1 SiOC etching rate 262 433 (nm/min) Selectivity relative to 4.8 15.1 SiC CD (nm) 153 149 Selectivity relative to 3.4 96.3 resist Comparative Present example 2 example 2 (DC 0 V) (DC −900 V) Etching conditions 2 SiOC etching rate 487 589 (nm/min) Selectivity relative to 2.9 6.3 SiC CD (nm) 153 141 Selectivity relative to 6.6 11.9 resist

TABLE 2 Power on upper side (W) 200 400 800 Etching rate 229 433 436 (nm/min) Selectivity 33.8 15.1 9.3 relative to SiC CD (nm) 151 149 155 Selectivity 10.2 96.3 7.1 relative to resist

Further, it has also been confirmed from comparison between the conditions 1 and conditions 2 in this Table 1, that the effect of improving the selectivity relative to SiC obtained by superposing a DC voltage to the upper electrode 34 can be enhanced where the RF power (upper electrode/lower electrode) is smaller.

Then, using the etching conditions 1 or etching conditions 2 as references, some of the conditions were changed and etching characteristics thus obtained were examined.

Table 2 shows etching characteristics obtained by changing the RF power applied to the upper electrode 34 with reference to the etching conditions 1. As shown in this Table 2, the etching rate was improved while the selectivity relative to SiC became smaller, with increase in the RF power applied to the upper electrode 34. On the other hand, under these conditions, change in the RF power applied to the upper electrode 34 less affected the CD, and the selectivity relative to resist was prominently excellent when the RF power was 400 W. Judging from the result described above, it has been confirmed that the RF power applied to the upper electrode 34 is preferably set at a value within a range of about 200 to 800 W.

Table 3 shows etching characteristics obtained by changing the RF power applied to the lower electrode or susceptor 16 with reference to the etching conditions 2. As shown in this Table 3, the etching rate was greatly improved while the selectivity relative to SiC tended to be less improved, with increase in the RF power applied to the lower electrode (susceptor 16). On the other hand, under these conditions, change in the RF power applied to the lower electrode less affected the CD, and the selectivity relative to resist was improved with increase in the RF power. Judging from the result described above, it has been confirmed that the RF power applied to the lower electrode is preferably set at a value within a range of about 1,500 to 3,800 W.

Table 4 shows etching characteristics obtained by changing the process pressure with reference to the etching conditions 2. As shown in this Table 4, the etching rate decreased and the etching was thereby stopped where the process pressure was set to be too high under the etching conditions 2 in which the RF power (upper electrode/lower electrode) was as relatively large as 800/2,500 W. Accordingly, it has been confirmed that the process pressure is preferably set at a value within a range of about 4 to 20 Pa.

Further, in light of the result shown in Table 4 as well as the results shown in Tables 2 and 3, it is thought preferable that the etching rate and the selectivity relative to SiC obtained by superposing a DC voltage are controlled by adjusting the RF power.

TABLE 3 Power on lower side (W) 1,500 2,500 3,800 Etching rate 436 589 676 (nm/min) Selectivity 9.3 6.3 3.8 relative to SiC CD (nm) 155 141 157 Selectivity 7.1 11.9 41 relative to resist

TABLE 4 Pressure (Pa) 4 6.7 20 Etching rate 394 589 154 (nm/min) Selectivity 3.8 6.3 Etching relative to stop SiC CD (nm) 151 141 6.3 Selectivity 9.1 11.9 34.3 relative to resist

Table 5 shows etching characteristics obtained by changing the Ar flow rate with reference to the etching conditions 2. As shown in this Table 5, although the influence of change in the Ar flow-rate ratio was not clear, the selectivity relative to SiC was improved by adding a certain amount of Ar, under the etching conditions 2 in which the RF power (upper electrode/lower electrode) was as relatively large as 800/2,500 W. In this case, it has been confirmed that the Ar is preferably added at 1,000 mL/min or less.

Then, a sample of a multi-layer structure was prepared, as shown in FIG. 32A, and etched, using the ArF resist 205 having a line-and-space trench pattern as a mask. In this case, the SiOC family film 202 was etched until the underlying SiC layer 201 was exposed, to form a trench. The etching was 2-step etching of main etching and over etching performed under the following etching conditions, and comparison was made in etching characteristics between a case where a DC voltage of −900V was applied to the upper electrode 34 (a present example 3), and a case where no DC voltage was applied (a comparative example 3). Table 6 shows the results.

<Main Etching Conditions>

Pressure inside the chamber=26.7 Pa;

RF power (upper electrode/lower electrode)=300 W/1,000 W;

Process gas flow rates of CF4/N2/Ar/CHF3=180/100/180/50 mL/min;

Distance between the upper and lower electrodes=35 mm;

Process time=10 seconds;

Back pressure (central portion/edge portion)=2,000/5,332 Pa;

Upper electrode 34 temperature=60° C.;

Chamber 10 sidewall temperature=60° C.; and

Susceptor 16 temperature=20° C.

<Over Etching Conditions>

Pressure inside the chamber=4.0 Pa;

RF power (upper electrode/lower electrode)=1,000 W/1,000 W;

Process gas flow rates of C4F8/N2/Ar=6/260/1,000 mL/min;

Over etching amount=30%;

Distance between the upper and lower electrodes=35 mm; and

Other conditions are the same as the main etching conditions.

TABLE 5 Ar flow rate (mL/min) 0 300 600 1,000 Etching rate 574 646 574 589 (nm/min) Selectivity 3.3 5.8 6.8 6.3 relative to SiC CD (nm) 153 149 149 141 Selectivity 7.8 11.6 13.2 11.9 relative to resist

TABLE 6 Comparative example 3 Present example 3 (DC 0 V) (DC −900 V) SiOC etching rate 660.6 1104.6 (nm/min) Selectivity relative 11.7 15 to SiC CD (nm) 117 114.6 LER (nm) 7.64 4.88 Selectivity relative 2.3 3.1 to resist The SiOC etching rate and the selectivity relative to resist were obtained only by the main etching step.

As shown in Table 6, in the case of the present example 3 with a DC voltage of −900V applied to the upper electrode 34, the selectivity relative to SiC was 15, which was greatly improved, as compared with the comparative example 3 with no DC voltage application, in which the selectivity relative to SiC was 11.7.

Further, under the etching conditions described above, with a DC voltage of −900V applied to the upper electrode 34, not only the selectivity relative to SiC, but also the selectivity relative to resist was improved, as shown in Table 6. Further, the etching rate of the SiOC family film 102 was greatly improved along with control to prevent the CD corresponding to the width of the trench from increasing. Further, the roughness of the line defining the etched trench (line etching roughness; LER) became much lower.

In the examples described above, the SiOC family film 202 is etched relative to the underlying SiC layer 201, but the same effects described above can be obtained in another etching target. For example, the sectional structure shown in FIG. 33A includes a silicon substrate 206, on which a silicon nitride film (SiN) 207, an SiO2 film 208 formed by CVD using TEOS (tetraethylorthosilicate) as a source material, an anti-reflection film (BARC) 209, and a patterned resist mask 210 made of, e.g., ArF are disposed. In this sectional structure, as shown in FIG. 33B, the SiO2 film 208 is etched relative to the underlying silicon nitride film 207. Also in this case, the same effects described above can be obtained by applying a DC voltage to the upper electrode 34.

Further, in the examples described above, the SiOC family film 202 is an etching target (in the main etching or in the main etching and over etching), and the DC voltage application is utilized for the effect of improving the selectivity relative to the underlying layer. Accordingly, the DC voltage application may be used solely in the over etching of a 2-step process, such that the main etching is performed under normal conditions until a recess being formed reaches a position near the underlying layer, and then it is switched to the over etching.

Next, an explanation will be given of an embodiment 2 of the present invention.

FIG. 34 is a sectional view schematically showing a plasma etching apparatus according to an embodiment 2 of the present invention. In FIG. 34, the constituent elements the same as those shown in FIG. 1 are denoted by the same reference symbols, and a repetitive description thereon will be omitted.

In place of the upper electrode 34 of the embodiment 1, this embodiment includes an upper electrode 34′ having the following structure. Specifically, the upper electrode 34′ comprises an outer upper electrode 34a and an inner upper electrode 34b. The outer upper electrode 34a has a ring shape or doughnut shape and is disposed to face a susceptor 16 at a predetermined distance. The inner upper electrode 34b has a circular plate shape and is disposed radially inside the outer upper electrode 34a while being insulated therefrom. In terms of plasma generation, the outer upper electrode 34a mainly works for it, and the inner upper electrode 34b assists it.

FIG. 35 is an enlarged partial side view showing a main part of the plasma etching apparatus. As shown in FIG. 35, the outer upper electrode 34a is separated from the inner upper electrode 34b by an annular gap (slit) of, e.g., 0.25 to 2.0 mm, in which a dielectric body 72 made of, e.g., quartz is disposed. A ceramic body 73 is further disposed in this gap, but this may be omitted. The two electrodes 34a and 34b with the dielectric body 72 sandwiched therebetween form a capacitor. The capacitance C72 of this capacitor is set or adjusted to be a predetermined value, on the basis of the size of the gap and the dielectric constant of the dielectric body 72. An insulating shield member 42 made of, e.g., alumina (Al2O3) and having a ring shape is airtightly interposed between the outer upper electrode 34a and the sidewall of a chamber 10.

The outer upper electrode 34a includes an electrode plate 36a, and an electrode support 38a detachably supporting the electrode plate 36a. The electrode support 38a is made of a conductive material, such as aluminum with an anodization-processed surface. The electrode plate 36a is preferably made of a conductor or semiconductor, such as silicon or SiC, having a low resistivity to generate a small Joule heat. The outer upper electrode 34a is electrically connected to a first RF power supply 48 the same as that of the embodiment 1 through a matching unit 46, an upper feed rod 74, a connector 98, and a feed cylinder 100, the same as those of the embodiment 1. The output terminal of the matching unit 46 is connected to the top of the upper feed rod 74.

The feed cylinder 100 has a cylindrical or conical shape, or a shape similar to it, and is formed of a conductive plate, such as an aluminum plate or copper plate. The bottom end of the feed cylinder 100 is connected to the outer upper electrode 34a continuously in an annular direction. The top of the feed cylinder 100 is electrically connected to the bottom of the upper feed rod 74 through the connector 98. Outside the feed cylinder 100, the sidewall of the chamber 10 extends upward above the height level of the upper electrode 34′ and forms a cylindrical grounded conductive body 10a. The top of the cylindrical grounded conductive body 10a is electrically insulated from the upper feed rod 74 by a tube-like insulating member 74a. According to this design, the load circuit extending from the connector 98 comprises a coaxial path formed of the feed cylinder 100 and outer upper electrode 34a and the cylindrical grounded conductive body 10a, wherein the feed cylinder 100 and outer upper electrode 34a function as a waveguide.

As shown in FIG. 34, the inner upper electrode 34b includes an electrode plate 36b having a number of gas delivery holes 37b, and an electrode support 38b detachably supporting the electrode plate 36b. The electrode support 38b is made of a conductor material, such as aluminum with an anodization-processed surface. The electrode support 38b has two gas diffusion cells, i.e., a central gas diffusion cell 40a and a peripheral gas diffusion cell 40b, formed therein and separated by an annular partition member 43, such as an O-ring. The central gas diffusion cell 40a and peripheral gas diffusion cell 40b are connected to the gas delivery holes 37b through a number of gas flow channels 41b extending downward. The central gas diffusion cell 40a, some of a number of gas flow channels 41b disposed therebelow, and some of a number of gas delivery holes 37b connected thereto constitute a central showerhead. The peripheral gas diffusion cell 40b, some of a number of gas flow channels 41b disposed therebelow, and some of a number of gas delivery holes 37b connected thereto constitute a peripheral showerhead.

The gas diffusion cells 40a and 40b are supplied with a process gas from a common process gas supply source 66 at a predetermined flow-rate ratio. More specifically, a gas supply line 64 is extended from the process gas supply source 66 and divided into two branch lines 64a and 64b connected to the gas diffusion cells 40a and 40b. The branch lines 64a and 64b are connected to gas feed ports 62a and 62b formed in the electrode support 38b, so that the process gas is supplied through the gas feed ports 62a and 62b into the gas supply cells 40a and 40b. The branch lines 64a and 64b are provided with flow rate control valves 71a and 71b disposed thereon, respectively. The conductance values of the flow passages from the process gas supply source 66 to the gas diffusion cells 40a and 40b are equal to each other. Accordingly, the flow-rate ratio of the process gas supplied into the central gas supply cell 40a and peripheral gas supply cell 40b is arbitrarily adjusted by adjusting the flow rate control valves 71a and 71b. The gas supply line 64 is provided with a mass-flow controller (MFC) 68 and a switching valve 70 disposed thereon, as in the embodiment 1. The flow-rate ratio of the process gas supplied into the central gas diffusion cell 40a and peripheral gas diffusion cell 40b is thus adjusted. As a consequence, the ratio (FC/FE) between the gas flow rate FC from the central showerhead and the gas flow rate FE from the peripheral showerhead is arbitrarily adjusted. The flow rates per unit area may be set different, for the process gas delivered from the central showerhead and peripheral showerhead. Further, gas types or gas mixture ratios may be independently or respectively selected, for the process gas delivered from the central showerhead and peripheral showerhead.

The electrode support 38b of the inner upper electrode 34b is electrically connected to the first RF power supply 48 the same as that of the embodiment 1 through the matching unit 46, upper feed rod 74, connector 98, and lower feed rod 76, as in the embodiment 1. The lower feed rod 76 is provided with a variable capacitor 78 disposed thereon, for variably adjusting capacitance. The variable capacitor 78 can adjust the balance between the outer electric field intensity and inner electric field intensity, as described later.

The upper electrode 34′ is also connected to a variable DC power supply 50, as in the embodiment 1. Specifically, the variable DC power supply 50 is connected to the outer upper electrode 34a and inner upper electrode 34b through a filter 58. The polarity, voltage, and current of the variable DC power supply 50, and the on/off of an on/off switch 52 are controlled by a controller 51, as in the embodiment 1. Although the embodiment 1 includes a filter built in the matching unit 46, this embodiment includes the filter 58 independently of the matching unit 46.

When an etching process is performed in the plasma etching apparatus having this structure, an etching target or semiconductor wafer W is transferred into the chamber 10 and placed on the susceptor 16, as in the embodiment 1. Then, a process gas for etching is supplied from the process gas supply source 66 into the central gas diffusion cell 40a and peripheral gas diffusion cell 40b at predetermined flow rates and flow-rate ratio to deliver the gas into the chamber 10 through the gas delivery holes 37b. At the same time, the exhaust unit 84 is used to exhaust the chamber 10 to maintain the pressure therein at a set value, as in the embodiment 1.

While the etching gas is supplied into the chamber 10, an RF power for plasma generation (60 MHz) is applied from the first RF power supply 48 to the upper electrode 34′ at a predetermined power level, and an RF for ion attraction (2 MHz) is applied from the second RF power supply 90 to the lower electrode or susceptor 16 at a predetermined power level. Further, a predetermined voltage is applied from the variable DC power supply 50 to the outer upper electrode 34a and inner upper electrode 34b. Furthermore, a DC voltage is applied from the DC power supply 22 to the electrode 20 of the electrostatic chuck 18 to fix the semiconductor wafer W on the susceptor 16.

The etching gas delivered from the gas delivery holes 37b of the inner upper electrode 34b is turned into plasma by glow discharge between the upper electrode 34′ and lower electrode or susceptor 16. Radicals and ions generated in this plasma are used to etch the target surface of the semiconductor wafer W.

In this plasma etching apparatus, the upper electrode 34′ is supplied with an RF power within a range covering higher frequencies (form 5 to 10 MHz or more at which ions cannot follow). As a consequence, the plasma density is increased with a preferable dissociation state, so that high density plasma is generated even under a low pressure condition, as in the embodiment 1.

In the upper electrode 34′, the inner upper electrode 34b is also used as a showerhead directly across the semiconductor wafer W, such that the flow-rate ratio of the gas delivered from the central showerhead and peripheral showerhead can be arbitrarily adjusted. As a consequence, the spatial distribution of gas molecular or radical density can be controlled in the radial direction, so as to arbitrarily control the spatial distribution of an etching characteristic on the basis of radicals.

Further, as described later, the upper electrode 34′ is operated as an RF electrode for plasma generation, such that the outer upper electrode 34a mainly works for it, and the inner upper electrode 34b assists it. The ratio of electric field intensity applied to electrons directly below the RF electrodes 34a and 34b can be adjusted by these electrodes. As a consequence, the spatial distribution of plasma density can be controlled in the radial direction, so as to arbitrarily and finely control the spatial property of a reactive ion etching characteristic.

The control over the spatial distribution of plasma density has substantially no influence on the control over the spatial distribution of radical density. The control over the spatial distribution of plasma density is performed by varying the ratio of electric field intensity or input power between the outer upper electrode 34a and inner upper electrode 34b. On the other hand, the control over the spatial distribution of radical density is performed by varying the ratio of process gas flow rate, gas density, or gas mixture between the central showerhead and peripheral showerhead. The process gas delivered from the central showerhead and peripheral showerhead is dissociated in an area directly below the inner upper electrode 34b. Accordingly, even if the balance of electric field intensity between the inner upper electrode 34b and outer upper electrode 34a is changed, it does not have a large influence on the balance of radical generation amount or density between the central showerhead and peripheral showerhead, because both showerheads belong to the inner upper electrode 34b (within the same area). Thus, the spatial distribution of plasma density and the spatial distribution of radical density can be controlled substantially independently of each other.

Further, the plasma etching apparatus according to this embodiment is arranged such that most or the majority of plasma is generated directly below the outer upper electrode 34a, which mainly works for plasma generation, and then diffuses to the position directly below the inner upper electrode 34b. Accordingly, the showerhead or inner upper electrode 34b is less attacked by ions from the plasma. This effectively prevents the gas delivery holes 37b of the electrode plate 36b from being progressively sputtered, thereby remarkably prolonging the service life of the electrode plate 36b, which is a replacement part. On the other hand, the outer upper electrode 34a for generating most or the majority of plasma has no gas delivery holes at which electric field concentration occurs. As a consequence, the outer upper electrode 34a is less attacked by ions, and thus there arises no such a problem in that the outer upper electrode 34a shortens the service life.

Next, with reference to FIGS. 35 and 36, a more detailed explanation will be given of the control over the spatial distribution of plasma density, which is performed by varying the ratio of electric field intensity or input power between the outer upper electrode 34a and inner upper electrode 34b.

As described above, FIG. 35 shows a main portion of the plasma etching apparatus according to this embodiment (particularly, a main portion of plasma generating means). FIG. 36 shows an equivalent circuit of a main portion of plasma generating means. The structure of the showerheads is not shown in FIG. 35, while the resistance of respective portions is not shown in FIG. 36.

As described above, the load circuit extending from the connector 98 comprises a coaxial path formed of the outer upper electrode 34a and feed cylinder 100 and the cylindrical grounded conductive body 10a, wherein the outer upper electrode 34a and feed cylinder 100 function as a waveguide J0. Where the radius (outer radius) of the feed cylinder 100 is a0, and the radius of the cylindrical grounded conductive body 10a is b, the characteristic impedance or inductance L0 of this coaxial path is approximated by the following formula (1).


L0=K×In(b/a0)  (1)

In this formula, K is a constant determined by the mobility and dielectric constant of a waveguide.

On the other hand, the load circuit extending from the connector 98 also comprises a coaxial path formed of the lower feed rod 76 and the cylindrical grounded conductive body 10a, wherein the former member (76) functions as a waveguide Ji. Although the inner upper electrode 34b is present on the extension of the lower feed rod 76, the impedance of lower feed rod 76 is dominant, because the difference in diameters between them is very large. Where the radius (outer radius) of the lower feed rod 76 is the characteristic impedance or inductance Li of this coaxial path is approximated by the following formula (2).


Li=K×In(b/ai)  (2)

As can be understood from the above formulas (1) and (2), the inner waveguide Ji for transmitting RF to the inner upper electrode 34b provides an inductance Li in the same manner as a conventionally ordinary RF system. On the other hand, the outer waveguide J0 for transmitting RF to the outer upper electrode 34a provides a very small inductance L0 because of a very large radius. As a consequence, in the load circuit extending from the connector 98 toward the side opposite to the matching unit 46, RF is transmitted more easily through the outer waveguide J0 having a lower impedance (a smaller voltage drop). The outer upper electrode 34a is thereby supplied with a larger RF power P0, so the electric field intensity E0 obtained at the bottom surface (plasma contact surface) of the outer upper electrode 34a becomes higher. On the other hand, RF is transmitted less easily through the inner waveguide Ji having a higher impedance (a larger voltage drop). The inner upper electrode 34b is thus supplied with an RF power Pi smaller than the RF power P0 supplied to the outer upper electrode 34a, so the electric field intensity Ei obtained at the bottom surface (plasma contact surface) of the inner upper electrode 34b becomes lower than the electric field intensity E0 on the outer upper electrode 34a side.

As described above, according to this upper electrode 34′, electrons are accelerated by a stronger electric field E0 directly below the outer upper electrode 34a, while electrons are accelerated by a weaker electric field E0 directly below the inner upper electrode 34b. In this case, most or the majority of plasma P is generated directly below the outer upper electrode 34a, while a subsidiary part of the plasma P is generated directly below the inner upper electrode 34b. Then, the high density plasma generated directly below the outer upper electrode 34a diffuses radially inward and outward, so the plasma density becomes more uniform in the radial direction within the plasma process space between the upper electrode 34′ and susceptor 16.

In the coaxial path formed of the outer upper electrode 34a and feed cylinder 100 and the cylindrical grounded conductive body 10a, the maximum transmission power Pmax depends on the radius a0 of the feed cylinder 100 and the radius b of the cylindrical grounded conductive body 10a, and is given by the following formula (3).


Pmax/E02max=a02[In(b/a0)]2/2Z0  (3)

In the above formula, Z0 is the input impedance of this coaxial path viewing from the matching unit 46, and E0max is the maximum electric field intensity of the RF transmission system.

In the formula (3), the maximum transmission power Pmax takes on the maximum value when (b/a0). 1.65. Accordingly, in order to improve the power transmission efficiency of the outer waveguide J0, the ratio (b/a0) of the radius of the cylindrical grounded conductive body 10a relative to the radius of the feed cylinder 100 is most preferably set at about 1.65. This ratio is preferably set to be at least within a range of 1.2 to 2.0, and more preferably within a range of 1.5 to 1.7.

In order to arbitrarily and finely control the spatial distribution of plasma density, it is preferable to adjust the ratio or balance between the outer electric field intensity E0 directly below the outer upper electrode 34a (or the input power P0 into the outer upper electrode 34a side) and the inner electric field intensity Ei directly below the inner upper electrode 34b (or the input power Pi into the inner upper electrode 34b side). The lower feed rod 76 is provided with the variable capacitor 78 disposed thereon as means for adjusting the ratio or balance. FIG. 37 shows the relationship between the capacitance C78 of this variable capacitor 78 and the ratio of the input power Pi into the inner upper electrode 34b relative to the total input power. As shown in FIG. 37, the capacitance C78 of the variable capacitor 78 is adjusted to increase or decrease the impedance or reactance of the inner waveguide Ji, thereby changing the relative ratio between the voltage drop through the outer waveguide J0 and the voltage drop through the inner waveguide Ji. As a consequence, it is possible to adjust the ratio between the outer electric field intensity E0 (outer input power P0) and the inner electric field intensity Ei (inner input power Pi).

In general, the ion sheath impedance that causes an electric potential drop of plasma is capacitive. In the equivalent circuit shown in FIG. 36, it is assumed that the sheath impedance capacitance directly below the outer upper electrode 34a is Cpo, and the sheath impedance capacitance directly below the inner upper electrode 34b is Cpi. Further, the capacitance C72 of the capacitor formed between the outer upper electrode 34a and inner upper electrode 34b cooperates with the capacitance C78 of the variable capacitor 78 in changing the balance between the outer electric field intensity E0 (outer input power P0) and inner electric field intensity Ei (inner input power Pi). The capacitance C72 is preferably set or adjusted to optimize the variable capacitor's 78 function of adjusting the balance of electric field intensity (input power).

On the other hand, as in the embodiment 1, a DC voltage is applied from the variable DC power supply 50 through the filter 58 to the outer upper electrode 34a and inner upper electrode 34b. As a consequence, the spatial distribution of plasma density is controlled, as described above. At the same time, it is possible to exercise the same effects as those in the embodiment 1, i.e., the sputtering function due to a deeper Vdc, the plasma pressing function due to a larger plasma sheath length, the electron supply function onto the wafer W, the plasma potential adjustment function, and the plasma density increase function.

As described above, the effects obtained by the upper electrode 34′ formed of two parts, i.e., the outer upper electrode 34a and inner upper electrode 34b, are combined with the effects obtained by application of a predetermined DC voltage to the upper electrode 34′, so the plasma control can be more preferably realized.

In the example shown in FIG. 34, a I)C voltage is applied to both of the outer upper electrode 34a and inner upper electrode 34b. Alternatively, a DC voltage may be applied to either one of them.

In the example shown in FIG. 34, a I)C voltage is applied from one variable DC power supply 50 to both of the outer upper electrode 34a and inner upper electrode 34b. Alternatively, as shown in FIG. 38, two variable DC power supplies 50a and 50b may be used to apply DC voltages to the outer upper electrode 34a and inner upper electrode 34b, respectively, through switches 52a and 52b, and filters 58a and 58b. In this case, the DC voltages applied to the outer upper electrode 34a and inner upper electrode 34b can be independently controlled, thereby performing the plasma control in a better manner.

Further, as shown in FIG. 39, a variable DC power supply 50′ may be interposed between the outer upper electrode 34a and inner upper electrode 34b. In this case, one of the terminals is connected to the outer upper electrode 34a and the other terminal is connected to the inner upper electrode 34b. As a consequence, in addition to the effects described above, the plasma density ratio between the inner upper electrode 34b and outer upper electrode 34a can be more finely adjusted to improve the etching characteristic control planarly on the wafer. In FIG. 39, a reference symbol 52′ denotes an on/off switch, and reference symbols 58a′ and 58b′ denote filters.

Where the plasma etching apparatus according to the embodiment 2 is used to etch an insulating film (for example, Low-k film) disposed on a wafer W, the following combination of gases is particularly preferably used as a process gas.

Specifically, where over etching is performed under via-etching conditions, a combination of C5F8, Ar, and N2 may be preferably used as a process gas. In this case, the selectivity of an insulating film relative to an underlying film (SiC, SiN, etc.) can become larger.

Alternatively, where trench etching conditions are used, CF4 or a combination of (C4F8, CF4, Ar, N2, and O2) may be preferably used as a process gas. In this case, the selectivity of an insulating film relative to a mask can become larger.

Alternatively, where HARC etching conditions are used, a combination of (C4F6, CF4, Ar, and O2), (C4F6, C3F8, Ar, and O2), or (C4F6, CH2F2, Ar, and O2) may be preferably used as a process gas. In this case, the etching rate of an insulating film can become higher.

The process gas is not limited to the examples described above, and another combination of (CxHyFz gas/an additive gas such as N2 or O2/a dilution gas) may be used.

In the embodiment 1 and embodiment 2, the first RF power and second RF power may have frequencies, as follows. Specifically, the frequency of the first RF power may be one of 13.56 MHz, 27 MHz, 40 MHz, 60 MHz, 80 MHz, 100 MHz, and 160 MHz, while the frequency of the second RF power may be one of 380 kHz, 800 kHz, 1 MHz, 2 MHz, 3.2 MHz, and 13.56 MHz. They are suitably combined in accordance with a process to be performed.

The embodiments described above are exemplified by plasma etching apparatuses, but they may be applied to other apparatuses that utilize plasma to process a semiconductor substrate, such as a plasma film formation apparatus.

Additional advantages and modifications will readily occur to those skilled in the art. Therefore, the invention in its broader aspects is not limited to the specific details and representative embodiments shown and described herein. Accordingly, various modifications may be made without departing from the spirit or scope of the general inventive concept as defined by the appended claims and their equivalents.

Claims

1. (canceled)

2. A plasma processing apparatus comprising:

a process container that forms a process space to accommodate a target substrate;
an exhaust unit connected to an exhaust port of the process container to vacuum-exhaust gas from inside the process container;
an exhaust plate interposed between the process space and the exhaust port to rectify a flow of exhaust gas;
a first electrode and a second electrode disposed opposite each other within the process container, the first electrode being an upper electrode and the second electrode being a lower electrode and configured to support the target substrate;
a first RF power application unit configured to apply a first RF power to the first electrode;
a second RF power application unit configured to apply a second RF power to the second electrode, the second RF power having a frequency lower than that of the first RF power;
a DC power supply configured to apply a DC voltage to the first electrode;
a process gas supply unit configured to supply a process gas into the process container;
a control unit configured to control any one of application voltage, application current, and application power from the DC power supply to the first electrode; and
a conductive member disposed within the process container and configured to be grounded to release through plasma a current caused by the DC voltage applied from the DC power supply to the first electrode, the conductive member being disposed as a ring around the first electrode.

3. The plasma processing apparatus according to claim 2, further comprising a switching mechanism that connects the conductive member to a ground potential portion when a plasma process is performed on the target substrate, and connects the conductive member to a negative potential portion when plasma cleaning is performed on the conductive member.

4. The plasma processing apparatus according to claim 3, wherein the switching mechanism connects the first electrode to a negative terminal of the DC power supply when the plasma process is performed on the target substrate, and connects the conductive member to the negative terminal of the DC power supply when the plasma cleaning is performed on the conductive member.

5. The plasma processing apparatus according to claim 4, wherein the switching mechanism connects the first electrode to a positive terminal of the DC power supply when the plasma cleaning is performed on the conductive member.

6. The plasma processing apparatus according to claim 5, further comprising a switch configured to selectively ground the positive terminal of the DC power supply, wherein, when the plasma process is performed on the target substrate, the switch grounds the positive terminal of the DC power supply, and the switching mechanism connects the conductive member to the positive terminal of the DC power supply.

7. The plasma processing apparatus according to claim 2, wherein the DC power supply is configured such that any one of the application voltage, application current, and application power to the first electrode is variable.

8. The plasma processing apparatus according to claim 2, wherein the control unit is configured to control whether the DC voltage is to be applied or not, from the DC power supply to the first electrode.

9. The plasma processing apparatus according to claim 2, further comprising a detector configured to detect a generated plasma state, wherein the control unit controls any one of the application voltage, application current, and application power from the DC power supply to the first electrode, based on information from the detector.

10. The plasma processing apparatus according to claim 2, wherein the first RF power has a frequency of 13.56 MHz or more.

11. The plasma processing apparatus according to claim 10, wherein the first RF power has a frequency of 40 MHz or more.

12. The plasma processing apparatus according to claim 2, wherein the second RF power has a frequency of 13.56 MHz or less.

13. The plasma processing apparatus according to claim 2, wherein the DC power supply is configured to apply a voltage within a range of −2,000 to +1,000V.

14. The plasma processing apparatus according to claim 2, wherein the DC voltage applied from the DC power supply has an absolute value of 500V or more.

15. The plasma processing apparatus according to claim 2, wherein the DC voltage is a negative voltage having an absolute value larger than that of a self-bias voltage generated on a surface of the first electrode by the first RF power applied to the first electrode.

16. The plasma processing apparatus according to claim 2, wherein a surface of the first electrode facing the second electrode is made of a silicon-containing substance.

17. The plasma processing apparatus according to claim 2, wherein a cover plate is disposed to partly cover the conductive member, and the cover plate is moved relative to the conductive member by a driving mechanism to change a portion of the conductive member to be exposed to plasma.

18. The plasma processing apparatus according to claim 2, wherein a cover film having a stepped shape and made of a material to be etched by plasma is disposed to partly cover the conductive member, and the cover film is configured to be etched to change a portion of the conductive member to be exposed to plasma.

Patent History
Publication number: 20140326409
Type: Application
Filed: Jul 15, 2014
Publication Date: Nov 6, 2014
Applicant: Tokyo Electron Limited (Minato-ku)
Inventors: Akira KOSHIISHI (Nirasaki-shi), Masaru Sugimoto (Nirasaki-shi), Kunihiko Hinata (Nirasaki-shi), Noriyuki Kobayashi (Nirasaki-shi), Chishio Koshimizu (Nirasaki-shi), Ryuji Ohtani (Nirasaki-shi), Kazuo Kibi (Nirasaki-shi), Masashi Saito (Nirasaki-shi), Naoki Matsumoto (Nirasaki-shi), Manabu Iwata (Nirasaki-shi), Daisuke Yano (Minami-Alps-shi), Yohei Yamazawa (Nirasaki-shi)
Application Number: 14/331,690
Classifications