With Indicating, Testing, Inspecting, Or Measuring Means Patents (Class 118/712)
  • Patent number: 11404947
    Abstract: The invention relates to an impregnation device (1) for trickle impregnation of a stator (2) or armature of an electric machine with a synthetic resin (5) curing under temperature increase, comprising a holding device (32) which can be tilted vertically relative to the horizontal (16) and to which a drive motor (12) is attached as a rotary drive for the stator (2) or the armature, a drive shaft (58) operatively connected to the drive motor (12), a clamping device (34) which is non-rotatably connected to the drive shaft (58) and capable of detachably connecting the stator (2) or the armature to the drive shaft (58), a trickle device (24) capable of applying a synthetic resin (5) onto at least one axial end of the windings (4) of the stator (2) or the armature, and a heating device capable of heating the windings (4) of the stator (2) or the armature to a trickle temperature and to a comparatively higher curing temperature.
    Type: Grant
    Filed: February 18, 2018
    Date of Patent: August 2, 2022
    Assignee: GEHRING E-TECH GMBH
    Inventors: Jens Berthold, Stefan Schneider, Richard A. Rasp
  • Patent number: 11400718
    Abstract: A liquid discharge apparatus includes a head configured to discharge a liquid onto a print target, a carriage configured to hold the head and reciprocally movable in a main-scanning direction, a sealing configured to seal a nozzle surface of the head, and a support configured to hold the print target and movable in a sub-scanning direction perpendicular to the main-scanning direction, the support including a space configured to accommodate the sealing in the support.
    Type: Grant
    Filed: March 1, 2021
    Date of Patent: August 2, 2022
    Assignee: RICOH COMPANY, LTD.
    Inventor: Norikazu Yanase
  • Patent number: 11371839
    Abstract: A method of performing visualized measurement on thickness distribution of a paint film and an apparatus therefor. A measurement target region is heated by a heating unit that applies a light beam while moving relative to the measurement target region of a measurement target structure. A sensing unit moving together with the heating unit generates a plurality of thermal images related to a phenomenon in which thermal energy is propagated in the measurement target region by scanning and photographing the heated measurement target region. The thermal images in a dynamic state are converted into time-spatial-integrated thermal images in a static state by performing coordinate transformation according to a time-spatial-integrated coordinate transformation algorithm. A thickness of the paint film is calculated by using a Fourier thermal conduction equation.
    Type: Grant
    Filed: November 2, 2018
    Date of Patent: June 28, 2022
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Hoon Sohn, Soonkyu Hwang, Jiho Park
  • Patent number: 11372058
    Abstract: An impedance matching device includes: a variable capacitor connected between a radio-frequency power supply and a load; a first detector that detects an index value that determines impedance matching between the radio-frequency power supply and the load, and a first state value that indicates a state of a radio-frequency power; a second detector that detects a second state value that indicates a state of radio-frequency power output to the load; an adjustment unit that adjusts a capacitance value of the variable capacitor such that the index value detected by the first detector falls within a target range; and a diagnosis unit configured to diagnose an abnormality of the variable capacitor, the first detector, or the second detector based on the capacitance value adjusted by the adjustment unit, the first state value detected by the first detector, and the second state value detected by the second detector.
    Type: Grant
    Filed: April 1, 2020
    Date of Patent: June 28, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Hideo Kato
  • Patent number: 11369987
    Abstract: A device and a system for a lacquer transfer with a frame, transfer roller with a circumferential lateral wall, a drive unit, a slit nozzle, the slit nozzle at least indirectly connected to the frame, an outside contact surface of the lateral wall including depressions, the transfer roller mounted rotatably about an axis of rotation at the frame, the drive unit configured to drive the transfer roller for the transfer roller to rotate about the axis of rotation. The slit nozzle includes a supply connection, nozzle-cavity, slit-shaped nozzle-channel and at least one limiter, the supply connection coupled to the nozzle-cavity for supplying lacquer to the nozzle-cavity, the nozzle-channel extending from the nozzle-cavity to a muzzle end formed by the slit nozzle at the end of the nozzle-channel for dispensing lacquer, the slit nozzle configured by the limiter to adjust a cross-section in a restriction area of the nozzle-channel.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: June 28, 2022
    Assignee: Airbus Operations GmbH
    Inventors: Pierre C. Zahlen, Alexander Gillessen, Sebastian Kerger
  • Patent number: 11361130
    Abstract: A three-dimensional object model is divided into a plurality of slices that are targeted for an additive manufacturing process having a minimum printable feature size. For each of the slices, a thinning algorithm is applied to one or more contours of the slice to form a meso-skeleton, where topological features of the thinned slice that are smaller than the minimum printable feature size are reduced to skeletal paths. A corrected slice is formed using the meso-skeleton by sweeping the meso-skeleton with the minimum printable feature size. The corrected slices are assembled into a corrected object model and the corrected object model is used in the additive manufacturing process.
    Type: Grant
    Filed: December 16, 2019
    Date of Patent: June 14, 2022
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Erva Ulu, Nurcan Gecer Ulu, Walter Hsiao, Saigopal Nelaturi
  • Patent number: 11361985
    Abstract: The present invention relates to a substrate supporting device and a substrate processing apparatus. The substrate supporting device, the substrate supporting device of the substrate processing apparatus, may include: a disk; and a plurality of substrate supporting parts disposed radially from a center of the disk, a substrate being supported by each of the plurality of substrate supporting parts. An upper surface of each of the plurality of substrate supporting parts may protrude more upward than an upper surface of the disk.
    Type: Grant
    Filed: August 20, 2018
    Date of Patent: June 14, 2022
    Inventors: Jong Sik Kim, Hyun Wook Shin, Su Yeon Lee
  • Patent number: 11328944
    Abstract: The disclosed technology generally relates to semiconductor processing and more particularly to placing a substrate in a semiconductor manufacturing equipment for processing, and to apparatuses for placing the substrate in the semiconductor manufacturing equipment. In one aspect, a method of calibrating a process position of a semiconductor substrate in a process chamber comprises securing a calibration substrate on a susceptor in a processing chamber under an open chamber condition using a securing device, wherein securing comprises preventing the substrate from sliding laterally on the susceptor by more than a predefined tolerance from a centered position relative to a susceptor center. The method additionally comprises subjecting the calibration substrate under a process condition different from the open chamber condition. The method additionally comprises transferring the calibration substrate from the susceptor using a robot arm.
    Type: Grant
    Filed: October 23, 2019
    Date of Patent: May 10, 2022
    Assignee: Eugenus, Inc.
    Inventors: Alex Finkelman, Somilkumar J. Rathi, Niloy Mukherjee
  • Patent number: 11299803
    Abstract: A method of coating a component includes attaching the component to a support that is configured to hold a plurality of components and placing a base of the support in a holder that is attached to rotatable member of a fixture, wherein an axis of the holder is parallel to an axis of rotation of the rotatable member. The method also includes transporting the fixture into a coating chamber wherein a direction of an exit stream of a coater in oriented perpendicularly to the axis of rotation, exposing the fixture and the component to a reverse transfer arc cleaning/pre-heating procedure, and exposing the fixture and the component to a coating procedure during which a coating is directed at the component in a direction perpendicular to the axis of rotation while the rotatable member is rotating. The method further includes transporting the fixture and removing the component from the support fixture.
    Type: Grant
    Filed: January 4, 2019
    Date of Patent: April 12, 2022
    Assignee: Raytheon Technologies Corporation
    Inventors: Frank J. Trzcinski, Scott A. Elliott, Andrew Cervoni
  • Patent number: 11244849
    Abstract: Generation of dust from a peripheral portion of a substrate can be suppressed, and a processed substrate can be suppressed from being adversely affected by a pre-processed substrate. Further, an actual elevation state of the member configured to be moved up and down to support the substrate can be investigated. A substrate transfer device includes a first supporting portion, a second supporting portion and an elevating mechanism. The first supporting portion and the second supporting portion are configured to support a substrate from below the substrate. The elevating mechanism is configured to elevate the second supporting portion up and down between a first position higher than a height of the first supporting portion and a second position lower than the height of the first supporting portion. The substrate transfer device further includes a detecting mechanism configured to detect an elevation state of the second supporting portion.
    Type: Grant
    Filed: September 18, 2019
    Date of Patent: February 8, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Katsuhiro Morikawa, Yuta Matsushima
  • Patent number: 11213850
    Abstract: Provided herein is an improved spin coating system and a method of using the spin coating machine to produce an optical article. The system includes at least one dispensing arm assembly. The holder assembly is moveable along a substantially vertical axis. The dispensing arm assembly has a base and at least one arm having a first end and a second end and is moveable along a horizontal axis. The at least one arm is operably coupled to the base at the first end and operably coupled to at least one applicator at the second end, and the applicator is capable of being positioned along the substantially vertical axis. The method includes depositing a primer layer onto a lens using the dispensing arm assembly, followed by a hard coating, and drying and cooling the substrate using a drying/cooling station that is positioned substantially along the substantially vertical axis.
    Type: Grant
    Filed: January 9, 2018
    Date of Patent: January 4, 2022
    Assignee: Essilor International
    Inventor: Stephen Celmer
  • Patent number: 11148164
    Abstract: A dispenser and methods for transferring liquids are disclosed. The dispenser may include a capillary tube with tip having an aperture, a piezoelectric actuator coupled to the capillary tube at a location. Actuation of the piezoelectric actuator causes a pressure wave to propagate along the capillary tube toward the tip such that radial motion at the location is transmitted as distally extending axial motion of the tip, thereby causing a droplet of a predetermined volume to be ejected from the aperture. In some embodiments, the capillary tube has a modulus of elasticity in a range which dampens acoustical noise from the actuation and provides single drop stability over a range of drop sizes.
    Type: Grant
    Filed: July 24, 2015
    Date of Patent: October 19, 2021
    Assignee: BioDot, Inc.
    Inventors: Yehuda Ivri, Thomas Tisone, Eric P. Kuo, Shane Gunsalus
  • Patent number: 11117158
    Abstract: A sealant discharging apparatus includes a sealing gun, a movement controller, and a discharge controller. The sealing gun discharges sealant to an object. The movement controller causes the sealing gun and the object to move relatively. The discharge controller controls a discharge amount of the sealant discharged from the sealing gun. The movement controller controls a movement velocity of the sealing gun based on a volume of post-sealing sealant that has been discharged from the sealing gun and used to seal the object, and an amount of volume change in a sealant pool that has been discharged from the sealing gun and is yet to be used to seal the object.
    Type: Grant
    Filed: October 1, 2019
    Date of Patent: September 14, 2021
    Assignee: SUBARU CORPORATION
    Inventors: Yohei Matsumoto, Mitsuru Kono
  • Patent number: 11114278
    Abstract: A plasma power supply device includes an AC power supply configured to generate an AC voltage of a predetermined frequency for application to a pair of electrodes by way of a power supply harness which is replaceable partially or wholly to change a wiring length and which is flexible, and a control section configured to set the predetermined frequency of the AC power supply so that the frequency becomes lower as the power supply harness becomes longer.
    Type: Grant
    Filed: November 22, 2017
    Date of Patent: September 7, 2021
    Assignee: FUJI CORPORATION
    Inventor: Shinji Takikawa
  • Patent number: 11092637
    Abstract: Various approaches can be used to interrogate a surface such as a surface of a layered semiconductor structure on a semiconductor wafer. Certain approaches employ Second Harmonic Generation and in some cases may utilize pump and probe radiation. Other approaches involve determining current flow from a sample illuminated with radiation. Decay constants can be measured to provide information regarding the sample. Additionally, electric and/or magnetic field biases can be applied to the sample to provide additional information.
    Type: Grant
    Filed: December 4, 2019
    Date of Patent: August 17, 2021
    Assignee: FemtoMetrix, Inc.
    Inventors: Viktor Koldiaev, Marc Kryger, John Changala
  • Patent number: 11048175
    Abstract: Methods and systems are described for cleaning a support such as a clamp of a chuck that holds a patterning device or a wafer in a lithographic apparatus. The method includes loading a electrostatic cleaning substrate into a lithographic apparatus. The electrostatic cleaning substrate includes at least one electrode. The method further includes bringing the electrostatic cleaning substrate near to the clamping surface to be cleaned and connecting the electrode to a voltage source. Particles present on the support are then transferred to the electrostatic cleaning substrate.
    Type: Grant
    Filed: July 31, 2018
    Date of Patent: June 29, 2021
    Assignee: ASML Holding N.V.
    Inventors: Victor Antonio Perez-Falcon, Michael Andrew Chieda
  • Patent number: 11037808
    Abstract: During a teaching operation regarding a transport mechanism, a hand of the transport mechanism is moved to a tentative target position in a substrate supporter, and a substrate supported at a reference position in the substrate supporter is received by the hand. A positional relationship between the substrate held by the hand and the hand is detected. A deviation between the tentative target position and the reference position is acquired as correction information based on the detected positional relationship. During the teaching operation or during substrate processing, the tentative target position is corrected to a true target position to coincide with the reference position based on the acquired correction information. During the substrate processing, the hand is moved to the true target position, so that the substrate is transferred to the substrate supporter by the hand, or the substrate is received from the substrate supporter by the hand.
    Type: Grant
    Filed: March 22, 2018
    Date of Patent: June 15, 2021
    Inventor: Joji Kuwahara
  • Patent number: 11004709
    Abstract: A method for monitoring gas in a wafer processing system is provided. The method includes producing an exhaust flow in an exhausting conduit from a processing chamber. The method further includes placing a gas sensor in fluid communication with a detection point located in the exhausting conduit via a sampling tube that passes through a through hole formed on the exhausting conduit. The detection point is located away from the through hole. The method also includes detecting a gas condition at the detection point with the gas sensor. In addition, the method also includes analyzing the gas condition detected by the gas sensor to determine if the gas condition in the exhausting conduit is in a range of values.
    Type: Grant
    Filed: September 11, 2018
    Date of Patent: May 11, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Wen-Chieh Hsieh, Su-Yu Yeh, Ko-Bin Kao, Chia-Hung Chung, Li-Jen Wu, Chun-Yu Chen, Hung-Ming Chen, Yong-Ting Wu
  • Patent number: 10988840
    Abstract: A crystal oscillation probe structure and an evaporation device are provided. The crystal oscillation probe structure includes a guide cover, a crystal oscillation probe and a mesh screen structure, the guide cover includes a chamber with a guide opening, the crystal oscillation probe is fixed in the chamber, the crystal oscillation probe includes at least one crystal oscillation sheet, the mesh screen structure includes a plurality of openings, and the mesh screen structure is located on a traveling path of a material traveling toward the at least one crystal oscillation sheet and disposed on a side of the at least one crystal oscillation sheet facing the guide opening.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: April 27, 2021
    Assignees: CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Yifan Yang, Peng Cao, Ming Zhao, Wanmei Qing
  • Patent number: 10913999
    Abstract: A box coating apparatus for coating of substrates comprises a vacuum chamber which contains an evaporation source. A substrate holder is disposed vis-à-vis to the evaporation source so that evaporated material can impinge on substrates held by the substrate holder. Besides the evaporation source and the substrate holder, at least one further functional component is provided, namely a Meissner trap and/or a high vacuum valve mechanism, to which a shield arrangement is assigned to prevent evaporated material from impinging on said component. This shield arrangement has a shutter portion which can be moved from a closed shielding position in which it covers a passageway through the shield arrangement and serves to shield said component, to an open pumping position in which it substantially clears the passageway to allow essentially free passage for gases and vapor, and vice versa.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: February 9, 2021
    Inventors: Giuseppe Di Paola, Franco Moreni, Antonio Corea, Giuseppe Viscomi, Frank Breme
  • Patent number: 10889895
    Abstract: A deposition apparatus comprises: an infeed chamber; a preheat chamber; a deposition chamber; and optionally at least one of a cooldown chamber and an outlet chamber. At least a first of the preheat chamber and the cooldown chamber contains a buffer system for buffering workpieces respectively passing to or from the deposition chamber.
    Type: Grant
    Filed: June 11, 2015
    Date of Patent: January 12, 2021
    Assignee: Raytheon Technologies Corporation
    Inventors: James W. Neal, David A. Litton, Brian T. Hazel, Michael J. Maloney, Eric M. Jorzik
  • Patent number: 10879092
    Abstract: A plasma processing system having a plurality of stations is provided. Each station has a substrate support and a showerhead for supplying process gases. A radio frequency (RF) power supply and a distribution system is provided, where the distribution system is coupled to the RF power supply. A plurality of voltage probes is provided. Each of the plurality of voltage probes is connected in-line between the distribution system and each showerhead of each of the stations. A controller is configured to receive sensed voltage values from each of the plurality of voltage probes and compare the sensed voltage values against a plurality of voltage check bands. Each voltage check band is predefined for a process operation, and the controller is configured to generate an alert when the comparing detects that a sensed voltage value is outside of a voltage check band.
    Type: Grant
    Filed: August 7, 2018
    Date of Patent: December 29, 2020
    Assignee: Lam Research Corporation
    Inventors: Sunil Kapoor, Yaswanth Rangineni, Aaron Bingham, Tuan Nguyen
  • Patent number: 10829854
    Abstract: There is provided a film forming method of forming a metal film, which includes: alternately supplying a metal chloride gas and a reducing gas for reducing the metal chloride gas to a substrate arranged inside a processing vessel a plurality of times, wherein the alternately supplying the metal chloride gas and the reducing gas includes a period of time during which a flow rate of the metal chloride gas gradually increases.
    Type: Grant
    Filed: February 15, 2018
    Date of Patent: November 10, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kensaku Narushima, Katsumasa Yamaguchi
  • Patent number: 10816480
    Abstract: In a method of detecting a defect on a substrate, an incident beam may be radiated to a surface of the substrate to generate reflected light beams. A second harmonic generation (SHG) beam among the reflected light beams may be detected. The SHG beam may be generated by a defect on the substrate. A nano size defect may be detected by examining the SHG beam.
    Type: Grant
    Filed: March 26, 2019
    Date of Patent: October 27, 2020
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Eun-Hee Jeang, Aleksandr Shorokhov, Anton Medvedev, Maksim Riabko, Sang-Woo Bae, Akinori Okubo, Sang-Min Lee, Seong-Keun Cho, Won-Don Joo
  • Patent number: 10820424
    Abstract: Provided is a slot die with variable nozzles.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: October 27, 2020
    Assignee: SUNG AN MACHINERY CO., LTD.
    Inventors: Yong Sung Kim, Ok Jin Kim, Jin Woo Seong, Young Jin Kim
  • Patent number: 10807358
    Abstract: Disclosed is a printing apparatus. In an exemplary embodiment, the printing apparatus includes a nozzle for ejecting ink, a driving device for moving the nozzle, an imaging device for capturing an image displaying an ink printing process, and an automatic positioning controller for automatically setting a position of the nozzle based on the image captured by the imaging device while moving the nozzle by means of the driving device.
    Type: Grant
    Filed: March 19, 2019
    Date of Patent: October 20, 2020
    Assignee: ENJET CO. LTD.
    Inventors: Do Young Byun, Vu Dat Nguyen
  • Patent number: 10788472
    Abstract: The present invention provides a method for evaluating the quality of steam-treated products, allowing easy, quick and precise evaluation of the quality of oxide films in steam-treated products such as black coated steel sheets. Specifically, the present invention provides a method for evaluating the quality of steam-treated products with a surface oxide film formed during steam treatment, wherein test pieces (100) are cut out from said steam-treated products to measure the amount of oxygen in said test pieces (100) as a basis for evaluating the brightness of the surface(s) of said test pieces and/or the thickness of the oxide film of said test pieces.
    Type: Grant
    Filed: March 1, 2018
    Date of Patent: September 29, 2020
    Assignee: NIPPON STEEL NISSHIN CO., LTD.
    Inventors: Shin Ueno, Tadashi Nakano
  • Patent number: 10783220
    Abstract: The present invention is a data processing apparatus including a data input/output device for receiving data, a storage for storing the data received by the data input/output device, a data processing program storage for storing a data processing program that includes the steps of calculating, using a double exponential smoothing method, a first predicted value that is a predicted value of smoothed data and a second predicted value that is a predicted value of the gradient of the smoothed data, and calculating, using a double exponential smoothing method in which the second predicted value is set as input data, a third predicted value that is a predicted value of smoothed data and a fourth predicted value that is a predicted value of the gradient of the smoothed data, and a data calculation processing apparatus for performing the data processing under the data processing program.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: September 22, 2020
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Seiichi Watanabe, Satomi Inoue, Shigeru Nakamoto, Kousuke Fukuchi
  • Patent number: 10682874
    Abstract: A droplet dispensing apparatus includes a droplet ejection device, a microplate holder, a sheet stand, an image capturing device and a controller. The image capturing device is configured to move to a position above the sheet stand. The controller is configured to perform image processing on image data generated from an image captured by the image capturing device when the image capturing device is at the position above the test sheet on the sheet stand, to determine a size of each of test patterns formed by droplets dropped on the test sheet from the array of nozzles. The controller is further configured to generate a data file including the determined size of each of the test patterns. The test sheet colors or discolors at a place receiving a light-transmissive droplet.
    Type: Grant
    Filed: December 12, 2019
    Date of Patent: June 16, 2020
    Assignee: TOSHIBA TEC KABUSHIKI KAISHA
    Inventors: Hiroshi Yamamoto, Shuhei Yokoyama, Takaya Kitawaki
  • Patent number: 10669630
    Abstract: A layer-forming device includes a feeding mechanism that feeds a substrate during layer formation, an injector unit having a plurality of injectors that supplies a layer-forming gas to the substrate, along a feeding passage of the substrate, and a reactant supply unit which generates a reactant. The injector unit supplies the reactant through gaps between the injectors to a layer of the layer-forming component. A substrate opposing surface of the injector includes a layer-forming gas supply slot through which the layer-forming gas is output, first gas exhaust slots that suck an excess gas such as the layer-forming gas, the first gas exhaust slots being provided on both sides of the layer-forming gas supply slot in a feeding direction of the substrate, and inert gas supply slots that supply an inert gas provided on far sides of the respective first gas exhaust slots away from the layer-forming gas supply slot.
    Type: Grant
    Filed: February 21, 2014
    Date of Patent: June 2, 2020
    Assignee: MITSUI E&S MACHINERY CO., LTD.
    Inventors: Nozomu Hattori, Naomasa Miyatake, Yasunari Mori
  • Patent number: 10564172
    Abstract: Systems and methods provide for detection and controlled interaction with one or more objects. The system can include an imaging subsystem (20), a tool subsystem (26) containing one or more tools, a stage subsystem (16) and a control system (40). The control system (40) can integrate controls for each of the other subsystems, which controls can be implement desired functions over a variety of process parameters to perform the controlled interaction.
    Type: Grant
    Filed: May 8, 2015
    Date of Patent: February 18, 2020
    Assignees: THE CLEVELAND CLINIC FOUNDATION, PARKER HANNIFIN CORPORATION
    Inventors: George F. Muschler, James K. Monnich, Edward J. Kwee, Kimerly A. Powell, Edward E. Herderick, Cynthia A. Boehm, Thomas R. Adams, Robert Germanoski, Frank Krakosh, III, James Dunn, Daniel Bantz
  • Patent number: 10556515
    Abstract: The invention relates to the field of electrical engineering. An electricity supply system for a transport vehicle contains an electric network (1) with negative and positive wires, to which are connected an accumulator battery (2) and an electric starter (3); a capacitor bank (4); a bidirectional converter (5), which is connected between the capacitor bank and the electric network; a regulator (6); and a temperature sensor (11). Voltage from the capacitor bank is fed to an input (10) of the regulator, an additional input (12) of the regulator is connected to the temperature sensor, and outputs of the regulator are connected to control inputs (7, 8, 9) of the bidirectional converter, which bidirectional converter, in accordance with a signal at the control inputs, is capable of changing the parameters of its own volt-ampere characteristics at the outputs on the side of the electric network.
    Type: Grant
    Filed: April 26, 2016
    Date of Patent: February 11, 2020
    Assignee: LIMITED LIABILITY COMPANY “SMARTER”
    Inventors: Andrej Aleksandrovich Shved, Andrej Veniaminovich Velin
  • Patent number: 10521774
    Abstract: A preventive maintenance system includes a sensor attached to a movable part, and a preventive maintenance device which accumulates data on the operation of the movable part detected with the sensor, detects an indication of a malfunction of the movable part from a correlation between the operation data and a malfunction mode of the movable part, and notifies an operator of an indication of the malfunction when the indication of the malfunction of the movable part is found, or orders a replacement part for a component part which is a cause of the indication of the malfunction.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: December 31, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Hanako Komine, Phuc Hong Ninh
  • Patent number: 10514585
    Abstract: An apparatus for probing an interface via second harmonic generation (SHG) spectroscopy is provided.
    Type: Grant
    Filed: March 12, 2019
    Date of Patent: December 24, 2019
    Assignees: Northwestern University, Battelle Memorial Institute, The Trustees of Columbia University in the City of New York
    Inventors: Franz M. Geiger, Paul E. Ohno, Hong-fei Wang, Kenneth B. Eisenthal
  • Patent number: 10459338
    Abstract: Self-aligned via and plug patterning for back end of line (BEOL) interconnects are described. In an example, a structure for directed self-assembly includes a substrate and a block co-polymer structure disposed above the substrate. The block co-polymer structure has a polystyrene (PS) component and a polymethyl methacrylate (PMMA) component. One of the PS component or the PMMA component is photosensitive.
    Type: Grant
    Filed: April 5, 2017
    Date of Patent: October 29, 2019
    Assignee: Intel Corporation
    Inventors: Paul A. Nyhus, Eungnak Han, Swaminathan Sivakumar, Ernisse S. Putna
  • Patent number: 10454453
    Abstract: A control circuit for a impedance matching circuit having first and second capacitor arrays receives as input one or more RF parameters of the impedance matching circuit, and in response thereto: determines a first match configuration for the first capacitor array and a second match configuration for the second capacitor array to create an impedance match between a fixed RF source impedance and a variable RF load impedance, the first match configuration and the second match configuration being determined from one or more look-up tables and based upon the detected one or more RF parameters; and alters at least one of the first array configuration and the second array configuration to the first match configuration and the second match configuration, respectively, by controlling the on and off states of (a) each discrete capacitor of the first capacitor array and (b) each discrete capacitor of the second capacitor array.
    Type: Grant
    Filed: December 29, 2015
    Date of Patent: October 22, 2019
    Assignee: RENO TECHNOLOGIES, INC.
    Inventors: Imran Ahmed Bhutta, Ching Ping Huang, Michael Gilliam Ulrich, Tomislav Lozic
  • Patent number: 10431866
    Abstract: The present invention provides a process and structure of microfabricated air bridges for planar microwave resonator circuits. In an embodiment, the invention includes depositing a superconducting film on a surface of a base material, where the superconducting film is formed with a compressive stress, where the compressive stress is higher than a critical buckling stress of a defined structure, etching an exposed area of the superconducting film, thereby creating the at least one bridge, etching the base material, thereby forming a gap between the at least one bridge and the base material, depositing the at least one metal line on at least part of the superconducting film and at least part of the base material, where the at least one metal line runs under the bridge.
    Type: Grant
    Filed: September 15, 2017
    Date of Patent: October 1, 2019
    Assignee: International Business Machines Corporation
    Inventors: Vivekananda P. Adiga, Markus Brink
  • Patent number: 10336053
    Abstract: A control apparatus controls a three-dimensional object fabrication apparatus including a storing unit configured to store therein powder, a supplying unit configured to supply the powder to the storing unit in layers, and a discharge unit configured to discharge, onto the powder, a fabrication liquid for solidifying the powder. The control apparatus includes a controller configured to control a total amount of the powder supplied from the supplying unit to the storing unit based on fabrication data indicating a shape of a three-dimensional object and powder information indicating a change in dimension of a layer of the powder due to permeation of the fabrication liquid.
    Type: Grant
    Filed: September 27, 2016
    Date of Patent: July 2, 2019
    Assignee: RICOH COMPANY, LTD.
    Inventor: Takafumi Sasaki
  • Patent number: 10302428
    Abstract: Methods and apparatus for measuring the position of an object relative to a reference are disclosed. In an arrangement, a pressurized fluid is used to drive rotation of a cylinder around a piston and to bias the cylinder longitudinally. Ducts are provided in the cylinder and arranged so at to provide pulses of the fluid out of the cylinder through a reading port, the pulses being such as to uniquely identify the position of the cylinder relative to the piston and thereby of the object relative to the reference.
    Type: Grant
    Filed: February 18, 2015
    Date of Patent: May 28, 2019
    Assignee: OXFORD UNIVERSITY INNOVATION LIMITED
    Inventors: Kamaljit Singh Chana, Jonathan Shamus Sullivan
  • Patent number: 10287666
    Abstract: The invention relates to an installation and a method for the metallic coating of a workpiece using a coating device, said coating device comprising a displaceable coating lance, by which a metal plasma jet can be generated to create a coating of metal particles. According to the invention, it is provided that the coating device with the coating lance and a measuring device for measuring the coating thickness are jointly integrated in the installation, and that the coating device with the coating lance as well as the measuring device are enclosed by a housing.
    Type: Grant
    Filed: January 15, 2016
    Date of Patent: May 14, 2019
    Assignee: STURM MASCHINEN- & ANLAGENBAU GMBH
    Inventors: Andreas Ebenbeck, Gerhard Aufschläger, Marc Kesting, Ralf Völlinger
  • Patent number: 10274807
    Abstract: Methods of generating second harmonic generation (SHG) signals from interfaces formed with, or formed over, a noncentrosymmetric material, e.g., ?-quartz, are provided. The methods make use of the noncentrosymmetric material as an internal phase reference for the determination of a variety of interfacial electrostatic parameters, including interfacial potential, interfacial charge density, and the sign of the interfacial charge (i.e., net positive or net negative).
    Type: Grant
    Filed: December 7, 2017
    Date of Patent: April 30, 2019
    Assignees: Northwestern University, Battelle Memorial Institute, The Trustees of Columbia University in the City of New York
    Inventors: Franz M. Geiger, Paul E. Ohno, Hong-fei Wang, Kenneth B. Eisenthal
  • Patent number: 10256101
    Abstract: In a raw material gas supply apparatus, a control unit obtains an offset value of (m3?(m1+m2)), m1, m2 and m3 being respective measurement values of first and second mass controllers, and a mass flow meter, by supplying a carrier gas and a dilution gas in a state where the carrier gas flows through a bypass channel. Further, the control unit obtains an actual measurement value of a flow rate of the raw material by subtracting the offset value from (m3?(m1+m2)) obtained by supplying the carrier gas and dilution gas in a state where the carrier gas flows through the inside of a raw material container and calculating a difference between a target value of the flow rate of the raw material and the actual measurement value, and adjusts a set value of the first mass flow controller such that the flow rate of the raw material becomes.
    Type: Grant
    Filed: September 29, 2016
    Date of Patent: April 9, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hironori Yagi, Kensaku Narushima, Atsunari Matsuyama
  • Patent number: 10247658
    Abstract: A test jig for coating adhesion strength includes a base, a sample carrier, a first displacement mechanism and a second displacement mechanism mounted on the base, and a cutting knife holder equipped with two differently oriented cutting knives that is mounted between the second displacement mechanism and the sample carrier. The sample carrier, the first displacement mechanism and the second displacement mechanism can be driven to move such that the cutting knives can exert a constant pressure to cut the sample on the sample carrier.
    Type: Grant
    Filed: March 15, 2016
    Date of Patent: April 2, 2019
    Assignee: UNIVERSAL GLOBAL TECHNOLOGY (SHANGHAI) CO., LTD.
    Inventors: Chih-Kao Yeh, Xiao-Li Shao
  • Patent number: 10244634
    Abstract: A dispensing apparatus includes a frame having a gantry configured to provide movement in the X axis and Y axis directions, and first and second dispensing units coupled to the gantry and configured to dispense material onto a substrate. The second dispensing unit is coupled to the gantry by an automatic adjustment mechanism. The dispensing apparatus further includes a controller configured to control the operation of the gantry, the first dispenser, the second dispenser, and the automatic adjustment mechanism. The automatic adjustment mechanism is configured to move the second dispenser in the X axis and Y axis directions to manipulate a spacing between the first dispensing unit and the second dispensing. Methods of dispensing material on the substrate are further disclosed.
    Type: Grant
    Filed: February 6, 2018
    Date of Patent: March 26, 2019
    Assignee: Illinois Tool Works Inc.
    Inventors: Scott A. Reid, Hugh R. Read, Thomas C. Prentice
  • Patent number: 10141164
    Abstract: A plasma processing apparatus and a plasma processing method are provided which can sufficiently suppress an abnormal discharge in a gas space. A plasma processing apparatus includes a high frequency power source connected between a processing chamber and a base stand; a gas storage unit provided within the base stand and configured to store a gas; a blocking mechanism configured to block a gas introducing port of the gas storage unit; and a connection unit configured to connect a space between a disposition position of a wafer and the base stand, to the gas storage unit.
    Type: Grant
    Filed: September 24, 2014
    Date of Patent: November 27, 2018
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Akihito Fushimi, Manabu Iwata
  • Patent number: 10062593
    Abstract: During a teaching operation regarding a transport mechanism, a hand of the transport mechanism is moved to a tentative target position in a substrate supporter, and a substrate supported at a reference position in the substrate supporter is received by the hand. A positional relationship between the substrate held by the hand and the hand is detected. A deviation between the tentative target position and the reference position is acquired as correction information based on the detected positional relationship. During the teaching operation or during substrate processing, the tentative target position is corrected to a true target position to coincide with the reference position based on the acquired correction information. During the substrate processing, the hand is moved to the true target position, so that the substrate is transferred to the substrate supporter by the hand, or the substrate is received from the substrate supporter by the hand.
    Type: Grant
    Filed: June 29, 2015
    Date of Patent: August 28, 2018
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Joji Kuwahara
  • Patent number: 10054781
    Abstract: A microscope apparatus includes a detection optical system that detects fluorescence produced in a specimen immersed in a medium having a different refractive index from air and accommodated in a container and acquires a fluorescence image. An illumination device focuses excitation light emitted from an excitation-light source, in the form of a plane along a plane intersecting an optical axis of the detection optical system and makes the planar excitation light incident on the specimen in the container. A driving unit moves the specimen in a direction of an optical axis of a cylindrical lens. An illumination control unit adjusts a focal position of the illumination device based on a movement amount of the specimen moved by the driving unit such that an air-equivalent length along an optical path of the excitation light from the illumination device to the optical axis of the detection optical system remains constant.
    Type: Grant
    Filed: April 18, 2016
    Date of Patent: August 21, 2018
    Assignee: OLYMPUS CORPORATION
    Inventor: Go Ryu
  • Patent number: 10043690
    Abstract: A method includes providing radio frequency (RF) power from an RF power supply to a showerhead of a plasma processing system running a process operation on a substrate disposed in the plasma processing system. The method senses a voltage the showerhead using a voltage probe that is connected in-line between the RF power supply and the showerhead. The sensing of the voltage produces voltage values during the running of the process operation. The method includes comparing the voltage values against a voltage check band that is predefined for the process operation being run. The comparing is configured to detect when the voltage values are outside of the voltage check band. The method generates an alert when the comparing detects that the voltage values are outside of the voltage check band. The alert identifies a type of fault based on the voltage check band that was predefined for the process operation.
    Type: Grant
    Filed: March 22, 2016
    Date of Patent: August 7, 2018
    Assignee: Lam Research Corporation
    Inventors: Sunil Kapoor, Yaswanth Rangineni, Aaron Bingham, Tuan Nguyen
  • Patent number: 10030297
    Abstract: A method for producing a hot-dip aluminum-coated steel wire, including dipping a steel wire in molten aluminum, and drawing up the steel wire from the molten aluminum, wherein at the time of drawing up the steel wire from the molten aluminum, a stabilization member is contacted with a surface of the molten aluminum and the steel wire at the boundary between the steel wire and the surface of the molten aluminum, a nozzle having a tip end of which inside diameter is 1 to 15 mm is disposed so that the tip end is positioned at a place away from the steel wire by a distance of 1 to 50 mm, and an inert gas having a temperature of 200 to 800° C. is blown out from the tip end to the boundary at a volume flow rate of 2 to 200 L/min.
    Type: Grant
    Filed: July 26, 2016
    Date of Patent: July 24, 2018
    Assignee: NISSHIN STEEL CO., LTD.
    Inventors: Tadaaki Miono, Shinichi Kamoshida, Yasunori Hattori, Takeshi Shimizu
  • Patent number: 9881819
    Abstract: A semiconductor wafer with (100) plane orientation has two orthogonal cleavage directions. A notch is provided so as to indicate one of these directions. During irradiation with a flash, the semiconductor wafer warps about one of two radii at an angle of 45 degrees with respect to the cleavage directions such that the upper surface thereof becomes convex, and the opposite ends of the other radii become the lowest position. Eight support pins in total are provided in upright position on the upper surface of a holding plate of a susceptor while being spaced at intervals of 45 degrees along the same circumference. The semiconductor wafer is placed on the susceptor such that any of the support pins supports a radius at an angle of 45 degrees with respect to a cleavage direction.
    Type: Grant
    Filed: June 6, 2016
    Date of Patent: January 30, 2018
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Yoshio Ito