Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 5755888
    Abstract: An apparatus of forming thin films, which is small and requires a short thin-film formation time, is provided which comprises at least one physical vapor deposition device and at least one chemical vapor deposition device, wherein said physical vapor deposition device and said chemical vapor deposition device are provided with an exhaust pipe respectively for connection with a common exhaust means and an exhaust switching means. A method of forming thin films using this apparatus is also provided. According to the configuration in which the exhaust switching means is connected via exhaust pipes to the physical vapor deposition device, to the chemical vapor deposition device, and to the exhaust means, this apparatus can be accomplished in a small size which has at least two chambers and one exhaust means.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: May 26, 1998
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideo Torii, Eiji Fujii, Shigenori Hayashi, Ryoichi Takayama
  • Patent number: 5755886
    Abstract: A substrate processing reactor capable of thermal CVD, plasma-enhanced CVD, plasma-assisted etchback, plasma self-cleaning and other substrate processing operations all of which can either be performed separately or as part of in-situ multiple step processing. The reactor incorporates a uniform radial gas pumping system which enables uniform reactant gas flow across the wafer. Also included are upper and lower purge gas dispersers. The upper purge gas disperser directs purge gas flow downwardly toward the periphery of the wafer while the lower gas disperser directs purge gas across the backside of the wafer. The radial pumping gas system and purge gas dispersers sweep radially away from the wafer to prevent deposition external to the wafer and keep the chamber clean.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: May 26, 1998
    Assignee: Applied Materials, Inc.
    Inventors: David Nin-Kou Wang, John M. White, Kam S. Law, Cissy Leung, Salvador P. Umotoy, Kenneth S. Collins, John A. Adamik, Ilya Perlov, Dan Maydan
  • Patent number: 5755938
    Abstract: An apparatus which allows a first film to be formed on a substrate by chemical vapor deposition (CVD) and a second film to be formed on the substrate by sputtering, wherein the processes are performed sequentially in the same deposition chamber without exposing the substrate to an oxidative atmosphere. The deposition chamber includes a first electrode and a second electrode located under the first electrode. A transfer mechanism loads a dummy target onto the first electrode and the substrate onto the second electrode prior to a CVD process. The dummy target is resistant to sputtering and thus does not contaminate the film deposited on the substrate during CVD. After CVD and prior to sputtering, the transfer mechanism unloads the dummy target and replaces it with a sputtering target for film formation by sputtering. Both the dummy target and sputtering target can be loaded and unloaded from a single pressurized storage chamber.
    Type: Grant
    Filed: November 9, 1995
    Date of Patent: May 26, 1998
    Assignee: Alps Electric Co., Ltd.
    Inventors: Hirofumi Fukui, Masanori Miyazaki, Masami Aihara, Chisato Iwasaki, Koichi Fukuda, Yasuhiko Kasama
  • Patent number: 5753066
    Abstract: An apparatus for generating plasma is disclosed. The apparatus comprises: a plasma chamber; pairs of parallel plate electrodes; and a power supply for applying high-frequency powers on the pairs of electrodes. The frequencies of the high-frequency powers and the phase difference between the high-frequency powers are adjusted so as to cause each of electrons in the plasma to move in a circular path. A dense and highly uniform plasma is generated at a low pressure level, by utilizing the phenomenon of the oscillation, revolution or cycloidal motion of electrons in a high-frequency electric field formed between the parallel plate electrodes. This plasma is suitable for etching in the LSI fabrication process.
    Type: Grant
    Filed: September 12, 1996
    Date of Patent: May 19, 1998
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Masafumi Kubota, Noboru Nomura, Tokuhiko Tamaki
  • Patent number: 5753320
    Abstract: A process for forming a deposited film on a substrate according to the chemical vapor deposition method comprises previously forming excited species of a gas phase compound containing atoms which become constituents constituting said deposited film, supplying the excited species onto the surface of said substrate and effecting photoirradiation on said substrate surface, thereby forming the deposited film through the surface reaction.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: May 19, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuo Mikoshiba, Tadahiro Ohmi, Kazuo Tsubouchi, Kazuya Masu, Nobumasa Suzuki
  • Patent number: 5746875
    Abstract: The invention is embodied in a gas injection apparatus for injecting gases into a plasma reactor vacuum chamber having a chamber housing, a pedestal holding a workpiece to be processed, a device for applying RF energy into the chamber, the gas injection apparatus having a gas supply containing an etchant species in a gas, an opening in the chamber housing, a gas distribution apparatus disposed within the opening in the chamber housing which has at least one slotted aperture facing the interior of the chamber and a device for controlling the flow rate of gas from the one or more slotted apertures, and a gas feed line from the supply to the gas distribution apparatus. In a preferred embodiment, the gas distribution apparatus includes a center member surrounded by at least one annular member with a gap therebetween comprising the slotted aperture. Preferably, each of the members of the gas distribution apparatus comprises a material at least nearly impervious to attack from the etchant species.
    Type: Grant
    Filed: October 16, 1995
    Date of Patent: May 5, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Dan Maydan, Steve S. Y. Mak, Donald Olgado, Gerald Zheyao Yin, Timothy D. Driscoll, James S. Papanu, Avi Tepman
  • Patent number: 5743961
    Abstract: A thermal spray apparatus for depositing a coating on to a substrate is provided which includes a plasma generator for selectively changing gas from a gaseous state to a plasma, and apparatus for filtering plasma. Powder particles entrained in the plasma change from a solid state to a molten state. The apparatus for filtering the plasma permits only a high intensity region of the plasma to pass through to the substrate.
    Type: Grant
    Filed: May 9, 1996
    Date of Patent: April 28, 1998
    Assignee: United Technologies Corporation
    Inventors: Robert J. Wright, William J. Dalzell, Jr., George Himich, Jr., Raymond M. O'Donoghue
  • Patent number: 5733405
    Abstract: A plasma processing apparatus capable of forming plasma uniformly throughout a large surface area whereby a sample having a large diameter can be uniformly processed. The plasma processing apparatus has a first electrode 3 on which a workpiece 2 is placed, a second electrode 4 located to face the first electrode 3, and a plurality of ring-shaped permanent magnets 11 each having the same polarity in their circumferential direction, and the magnets are disposed concentrically or the outer side of the second electrode 4 so that the polarities opposing in the radial direction of adjacent magnets 11 are opposite to each other.
    Type: Grant
    Filed: February 6, 1996
    Date of Patent: March 31, 1998
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Masakazu Taki, Hiroki Ootera, Tatsuo Oomori
  • Patent number: 5733511
    Abstract: A multiple electrode plasma reactor power splitter and delivery system to provide balanced power to a plurality of powered electrodes by utilizing the properties of quarter wave length transmission lines. Each electrode is supplied power by a separate (2N+1).lambda./4 wavelength cable, where N=0,1,2 . . . , connected to a common point at a load matching network's output. The impedance transformation properties of these lines are also employed to convert the plasma load to one that is more efficiently matched into by a standard network. Also disclosed is a technique of splitting a single large active electrode into smaller active electrodes powered by the above distribution scheme in order to achieve maximum uniformity of the reactive plasma throughout the working volume.
    Type: Grant
    Filed: November 21, 1995
    Date of Patent: March 31, 1998
    Assignee: The BOC Group, Inc.
    Inventor: Frank De Francesco
  • Patent number: 5730801
    Abstract: A process chamber for semiconductor wafers is formed of multiple compartments. A first compartment is provided for supplying an isolated environment for processing the wafers, and a second compartment is provided, in selective communication with the first compartment, to load and unload wafers from the chamber. The wafer handling equipment is located in the second compartment to isolate it from the process environment, and thus form a clean, non-contaminating, environment for the wafer handling equipment. When the chamber must be cleaned, only the first compartment must be cleaned, as no processing occurs in the second chamber. Therefore, the entire first chamber may be removed for cleaning, and replaced with a clean first compartment to decrease chamber turnaround time during chamber cleaning operations.
    Type: Grant
    Filed: August 23, 1994
    Date of Patent: March 24, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Gerald Zheyao Yin, Donald Olgado
  • Patent number: 5730803
    Abstract: The present invention discloses an apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold plate. Further, the overall structure of the electrostatic chuck in combination with the heat transfer apparatus of the present invention permits the thermal and pressure isolation of the high-temperature, vacuum process chamber containing the work piece processing surface of the electrostatic chuck from the low-temperature, atmospheric pressured heat transfer apparatus of the present invention.
    Type: Grant
    Filed: February 23, 1996
    Date of Patent: March 24, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Robert Steger, James Taoka, Gregory Shmunis
  • Patent number: 5728253
    Abstract: Disclosed herein is a method of detecting an end point of plasma process performed on an object, and a plasma process apparatus. The method includes the steps of detecting an emission spectrum over a wavelength region specific to C.sub.2 in the plasma, by optical detecting means, and determining the end point of the plasma process from the emission intensity of the emission spectrum detected by the optical detector. The apparatus has a process chamber, a pair of electrodes, a light-collecting device, an optical detector, and a determining device. The chamber has a monitor window. The electrodes are located in the process chamber. The first electrode is used to support the object. A high-frequency power is supplied between the electrodes to change a process gas into plasma. The light-collecting device collects the light from the plasma through the monitor window. The optical detector detects an emission spectrum from the light collected.
    Type: Grant
    Filed: September 30, 1994
    Date of Patent: March 17, 1998
    Assignees: Tokyo Electron Limited, Tokyo Electron Yamanashi Limited
    Inventors: Susumu Saito, Kazuo Eguchi
  • Patent number: 5725675
    Abstract: A method and apparatus is provided to prevent energy transfer to a gas which is flown through a gas line disposed between a biased member and grounded member. In one aspect of the invention, a semi-conductive sleeve, such as a silicon carbide sleeve, is provided which is disposed about a gas line and is in contact with the gas inlet manifold and the gas outlet manifold and has a resistance less than that of the gas which is flown through the gas line.
    Type: Grant
    Filed: April 16, 1996
    Date of Patent: March 10, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Gary L. Fong, Vincente Lim, Visweswaren Sivaramakrishnan
  • Patent number: 5722668
    Abstract: A vacuum seal assembly that can be used in a plasma etch reactor to seal the chamber interior from the outside environment consists of a protective collar that is injection molded or machined of a high strength, high temperature and corrosion resistant thermoplastic material, the collar has an elastomeric gasket installed therein and is used in combination with a second elastomeric gasket to achieve a fluid-tight seal between two rigid surfaces made of silicon and quartz, respectively.
    Type: Grant
    Filed: April 29, 1994
    Date of Patent: March 3, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Michael Rice, Eric Askarinam
  • Patent number: 5721021
    Abstract: A method of depositing a titanium-containing conductive thin film, which is capable of depositing a high-quality thin film having a low chlorine content by grounding, through a capacitor, a terminal of a plasma generating electrode disposed in a processing chamber. In the method, one of the introduction terminals of the plasma generating electrode is connected to a radio-frequency power source, the other terminal being grounded through the capacitor. Titanium tetrachloride, hydrogen gas, and nitrogen gas are introduced into the processing chamber at flowrates of 20 ml/min, 30 ml/min and 10 ml/min, respectively. The pressure in the processing chamber is set to about 1 Pa, and the temperature of the substrate is set to 450.degree. to 600.degree. C. A low-pressure, high-density plasma is generated with an output of the radio-frequency power source of 2.5 kW to deposit a titanium nitride film at a rate of about 30 nm/min.
    Type: Grant
    Filed: October 3, 1996
    Date of Patent: February 24, 1998
    Assignee: Anelva Corporation
    Inventors: Ryoki Tobe, Masao Sasaki, Atsushi Sekiguchi, Ken-ichi Takagi
  • Patent number: 5720818
    Abstract: The present invention discloses a two basic structures (including multiple variations within one of the basic structures) and methods for fabrication of the structures which facilitate the flow of cooling gas or other heat transfer fluid to the surface of an electrostatic chuck. The basic structures address both the problem of breakdown of a heat transfer gas in an RF plasma environment and the problem of arcing between a semiconductor substrate and the conductive pedestal portion of the electrostatic chuck in such an RF plasma environment.
    Type: Grant
    Filed: April 26, 1996
    Date of Patent: February 24, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Arik Donde, Dan Maydan, Robert J. Steger, Edwin C. Weldon, Brian Lue, Timothy Dyer
  • Patent number: 5718795
    Abstract: The present invention is embodied in a plasma reactor for processing a workpiece such as a semiconductor wafer having an axis of symmetry, the reactor including a reactor chamber with a ceiling having an upwardly extending annular pocket bounded by a pair of circumferential side walls, a pedestal for supporting the workpiece within the chamber under the ceiling, a processing gas supply inlet into the chamber, an RF plasma power source coupled to the pedestal, and a magnetic field source near the ceiling providing a radially symmetrical magnetic field having a magnetic pole of one type facing said inner circumferential wall and a magnetic pole of the opposite type facing said outer circumferential wall so as to apply a magnetic field generally straight across said annular pocket.
    Type: Grant
    Filed: August 21, 1995
    Date of Patent: February 17, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Richard W. Plavidal, Shaoher X. Pan
  • Patent number: 5716486
    Abstract: A device for reducing plasma irregularities includes an electrode assembly capable of applying an electric potential to said plasma. The electrode assembly includes a portion for reducing the plasma irregularities. The portion which reduces the plasma irregularities includes alternately a buried portion which is capable of altering the potential within the buried element, or else a conditioned portion of the surface which controls reflectivity and/or emissivity of portions of a surface of the electrode assembly differently.
    Type: Grant
    Filed: April 19, 1996
    Date of Patent: February 10, 1998
    Inventors: Gary S. Selwyn, Manoj Dalvie, C. Richard Guarnieri, James J. McGill, Gary W. Rubolff, Maheswaran Surendra
  • Patent number: 5716484
    Abstract: A plasma etch chamber includes a modified focus ring which is used in conjunction with chamber pressure throttling to eject contaminants in the focus ring away from the substrate just before the etching cycle is completed. Additionally, process gas is directed against the inner wall of the chamber to create a swirling flow of plasma within the chamber and thus disturb any contaminant-generating field adjacent the chamber wall. A process gas, or a non-reactive purge gas, may also be supplied from a diffuser atop the cathode, to direct a gas layer along the top and sides of the chamber to reduce contaminant build-up on the chamber surfaces.
    Type: Grant
    Filed: May 11, 1995
    Date of Patent: February 10, 1998
    Assignee: Applied Materials, Inc.
    Inventors: Greg Blackburn, Joseph Kava, Richard McGovern, Yan Rozenzon
  • Patent number: 5716500
    Abstract: A method and apparatus for generation of a discharge in own vapors of a radio frequency electrode for sustained self-sputtering and evaporation comprising the steps of: (a) generation of a radio frequency discharge by a radio frequency electrode of a hollow geometry in an auxiliary gas introduced into the discharge area at a pressure necessary for an initiation of a hollow cathode discharge inside the hollow electrode causing sputtering and/or evaporation of the electrode surface; (b) increasing the radio frequency power to said hollow electrode to enhance density of vapors containing particles released from the electrode by the sputtering and/or evaporation in the radio frequency generated hollow cathode discharge up to a density at which a self-sustained discharge remains after the inflow of said auxiliary gas is closed and the pumping of gas is adjusted to a value necessary for the maintenance of the discharge. The hollow radio frequency electrode may serve as an inlet of said auxiliary gas.
    Type: Grant
    Filed: June 21, 1996
    Date of Patent: February 10, 1998
    Assignee: Surfcoat Oy
    Inventors: Ladislav Bardos, Hana Barankova, Soren Berg
  • Patent number: 5711812
    Abstract: An apparatus for improving dose uniformity in the PLAsma Doping (PLAD) ion implantation of a target material is described. By providing means for simultaneously biasing both the electrode, upon which the target is disposed, and a separately biasable concentric structure introduced about the electrode and sufficiently close to the target, together with means for adjustable bias variation between the electrode and the structure one can sufficiently adjust the shape of the implantation plasma, e.g. induced electric field and plasma sheath thickness, in order to effectively provide a uniform dose distribution during PLAD ion implantation processes.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: January 27, 1998
    Assignee: Varian Associates, Inc.
    Inventors: David LeRoy Chapek, Susan Benjamin Felch, Michael William Kissick, Shamim Muhammad Malik, Tienyu Terry Sheng
  • Patent number: 5711814
    Abstract: In a method of and an apparatus for forming a thin film on a substrate, a rotary electrode is provided and rotated so that an electrode surface of the electrode moves and passes by a substrate surface due to the rotation of the electrode. Thereby a reaction gas is supplied into a gap between the substrate surface and the electrode surface. A high-frequency power is applied or dc power to the rotary electrode thereby generating a plasma between the substrate surface and the electrode surface, for forming the thin film by chemical reaction of the reaction gas supplied into the plasma.
    Type: Grant
    Filed: August 7, 1996
    Date of Patent: January 27, 1998
    Assignees: Sanyo Electric Co., Ltd., Yuzo Mori
    Inventor: Yuzo Mori
  • Patent number: 5707692
    Abstract: A plasma processing apparatus for processing a base substance installed within a processing chamber into which predetermined gases are flowed and which is maintained at a predetermined pressure by producing a plasma within said processing chamber is characterized by comprising plasma producing means for producing the plasma within said processing chamber including at least two ground electrodes provided on external peripheries of said processing chamber, and an rf electrode provided on external periphery of said processing chamber between said two ground electrodes, and magnetic field producing means for producing a magnetic field orthogonal to an electric field formed by said plasma producing means.
    Type: Grant
    Filed: October 11, 1994
    Date of Patent: January 13, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventor: Nobumasa Suzuki
  • Patent number: 5702529
    Abstract: For providing a doped semiconductor film having a uniform thickness and a uniform impurity concentration on a semiconductor substrate, both a raw gas such as silane and an impurity gas such as phosphine are prepared. Thereafter, the raw gas is introduced into a reaction chamber, while a decomposed impurity gas, that is obtained by means for decomposing the impurity gas, is introduced into the reaction chamber, thereby depositing a doped semiconductor film such as a polysilicon film on the semiconductor substrate. A sub-reaction chamber, a plasma discharge device and a light source are used as the means for decomposing the impurity gas.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: December 30, 1997
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yuuichi Mikata, Katsunori Ishihara, Katsuya Okumura
  • Patent number: 5701057
    Abstract: A method of obtaining an electric discharge effected by a device for obtang an electric discharge resides in that on the surface of a cathode (3) is formed a layer (4) of oxides from the cathode material and together with an anode (2) made in the form of a hollow cylinder which encompasses the cathode (3) they are installed in a vacuum chamber (1). Equality of impedances is insured at each point on the surface of the anode (2) facing the cathode (3). Then an electric discharge is initiated in the gap between the anode (2) and the cathode (3). The movement and removal of the plasma-forming substance flow from the gap between the anode (2) and the cathode (3) is regulated by means of diaphragms (17, 18).
    Type: Grant
    Filed: June 30, 1994
    Date of Patent: December 23, 1997
    Assignee: Rossisko-shveitsarskoe aktsionernoe obschestvo zakrytogo tipa "NOVA"
    Inventors: Jury Vasilievich Gerasimov, Jury Moiseevich Grinberg, Georgy Andreevich Djuzhev, Anatoly Anatolievich Kallistov, Vladimir Iliich Kurilenko, Vadim Izrailovich Rakhovsky
  • Patent number: 5700725
    Abstract: An improved apparatus and method for the manufacture of integrated circuits is disclosed. At least three protrusions extend from the wafer support susceptor. The protrusions slightly electrically decouple the wafer from the susceptor during plasma processing. The protrusions prevent gradual debris build-up on the susceptor from causing variation from lot-to-lot in plasma processing results.
    Type: Grant
    Filed: January 29, 1997
    Date of Patent: December 23, 1997
    Assignee: Lucent Technologies Inc.
    Inventors: Glenn Roy Hower, Henry Y. Kumagai
  • Patent number: 5698035
    Abstract: A heat-resistive electrode material substantially consisting of 40 to 60 wt % of at least one of ZrB.sub.2 and TiB.sub.2, 20 to 50 wt % of BN, and not more than 30 wt % of AlN is disclosed. This heat-resistive electrode material is used in at least portions of electrodes of an apparatus having a plasma generating unit, e.g., an ion source, a plasma etching apparatus, or a plasma CVD apparatus, that contacts a plasma.
    Type: Grant
    Filed: January 13, 1997
    Date of Patent: December 16, 1997
    Assignees: Tokyo Electron Limited, Denki Kagaku Kogyo Kabushiki Kaisha
    Inventors: Masahiko Matsudo, Akira Koshiishi, Kei Isozaki, Yutaka Hirashima
  • Patent number: 5698062
    Abstract: A plasma treatment apparatus comprising a chamber earthed, a vacuum pump for exhausting the chamber, a suscepter on which a wafer is mounted, a shower electrode arranged in the chamber, opposing to the suscepter, a unit for supplying plasma generating gas to the wafer on the suscepter through the shower electrode, a first radio frequency power source for adding radio frequency voltage, which has a first frequency f.sub.1, to both of the suscepter and the shower electrode, a second radio frequency power source for adding radio frequency voltage, which has a second frequency f.sub.2 higher than the first frequency f.sub.1, at least to one of the suscepter and the shower electrode, a transformer whose primary side is connected to the first radio frequency power source and whose secondary side to first and second electrodes, and a low pass filter arranged in a circuit on the secondary side of the transformer, and serving to allow radio frequency voltage, which has the first frequency f.sub.
    Type: Grant
    Filed: September 25, 1995
    Date of Patent: December 16, 1997
    Assignee: Tokyo Electron Limited
    Inventors: Takao Sakamoto, Kazuhiro Tahara, Kenji Momose, Kosuke Imafuku, Shosuke Endo, Yukio Naito, Kazuya Nagaseki, Keizo Hirose
  • Patent number: 5695565
    Abstract: A head drum is coated with double films, each having different characteristics, by forming a first diamond-like hard carbon film of high degree of hardness and then forming a second diamond-like hard carbon film of a lower degree of hardness thereon. The degree of hardness of the second film is lower than that of the first film. The double coating is performed by means of a synthesizing apparatus which comprises a reactor consisting of a power supply electrode, a workpiece support and an annular ground electrode spaced from the stacked head drums by a predetermined distance.
    Type: Grant
    Filed: July 17, 1995
    Date of Patent: December 9, 1997
    Assignee: Korea Institute of Science and Technology
    Inventors: Kwang-Ryeol Lee, Kwang-Yong Eun, Keun-Mo Kim
  • Patent number: 5695566
    Abstract: In a plasma processing apparatus having an upper electrode and a lower electrode in a vacuum chamber, a substrate receiving face of the lower electrode is formed to have a same convex surface as a deflected face of a substrate on condition that surface of the substrate is freely supported on an circumference thereof, and a uniform pressure is applied to the back of the substrate.
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: December 9, 1997
    Assignee: Matsushita Electric Industrial Co.,Ltd.
    Inventors: Masaki Suzuki, Shoji Fukui, Yuji Tsutsui, Shigeyuki Yamamoto, Yasuo Tanaka
  • Patent number: 5695597
    Abstract: Electric field E is generated radially between reaction container and bar-shaped electrode, magnetic field B is formed perpendicular to the electric field, and wafer is disposed perpendicular to magnetic field B. Therefore, the E.times.B drift of plasma generated by magnetron discharge is in the tangential direction of a circle centered at bar-shaped electrode and is parallel to the surface of wafer, whereby maldistribution of plasma in the radial direction is restricted and plasma is distributed uniformly above the main surface of the wafer.
    Type: Grant
    Filed: August 17, 1995
    Date of Patent: December 9, 1997
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Nobuo Fujiwara
  • Patent number: 5688330
    Abstract: A process apparatus whose chamber can be cleaned in a short time while not being exposed to air at all. First and second electrodes (107,105), are provided in a vacuum vessel (108). A first high-frequency power supply (112) having a first frequency is supplied to the first electrode (107), and a second high frequency power supply (101) having a second frequency different from the first frequency is provided. An impedance means and a means for connecting the second high frequency power supply to the second electrode are also provided. A means for supporting a wafer (106) is disposed on the second electrode (105), and a gas introduced into the vacuum vessel (108) is turned into a plasma by the first and second high-frequency powers.
    Type: Grant
    Filed: September 10, 1996
    Date of Patent: November 18, 1997
    Inventor: Tadahiro Ohmi
  • Patent number: 5685914
    Abstract: In one aspect, the invention is embodied in a plasma reactor for processing a semiconductor wafer, the reactor having a pedestal focus ring surrounding the periphery of the wafer for reducing the process etch rate near the wafer periphery, and plural openings through the pedestal focus ring which permit passage therethrough of particulate contamination, thereby reducing accumulation of particulate contamination near the wafer periphery. In another aspect, in order to reduce corrosive wear of the chamber walls, a removable gas distribution focus ring shields the side walls of the plasma reactor from reactive gases associated with processing of the semiconductor wafer.
    Type: Grant
    Filed: April 5, 1994
    Date of Patent: November 11, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Graham W. Hills, Yuh-Jia Su, Yoshiaki Tanase, Robert E. Ryan
  • Patent number: 5685941
    Abstract: A plasma reactor for carrying out plasma processing of a semiconductor substrate includes a vacuum chamber including apparatus for introducing a gas into the interior thereof, an induction coil encircling a region of the vacuum chamber, the coil being connected across an RF power source, and an electrode positioned adjacent the region and connected to the RF power source for capacitively coupling RF power to the gas in the interior of the vacuum chamber. The electrode has a surface area facing the region which is large enough to provide capacitive coupling of RF power to the gas in the region sufficient to facilitate igniting a plasma, but which is small enough so that, during steady-state maintenance of the plasma, most of the RF power coupled to the plasma from the RF power source is coupled inductively rather than capacitively.
    Type: Grant
    Filed: November 21, 1995
    Date of Patent: November 11, 1997
    Assignee: Applied Materials, Inc.
    Inventors: John Forster, Barney M. Cohen, Bradley O. Stimson, George Proulx
  • Patent number: 5683517
    Abstract: A plasma reactor for processing a semiconductor wafer inside a vacuum chamber has an array of gas distribution orifices in said chamber facing respective underlying portions of a top surface of said wafer, a gas flow supply, apparatus for individually coupling gas to respective ones of said gas distribution orifices from said gas flow supply at respective individual gas flow rates whereby respective gas flow rates over said respective underlying portions of said top surface of said wafer are respectively determined and apparatus for igniting a plasma inside said chamber from gases contained therein for processing said wafer.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: November 4, 1997
    Assignee: Applied Materials, Inc.
    Inventor: Hongching Shan
  • Patent number: 5683558
    Abstract: An elongated anode structure having multiple points to which electrons are attracted is provided. The anode can be constructed of multiple wire brushes that are attached to a metal rod. Use of the anode in magnetron systems significantly reduces dielectric material build-up and improves film uniformity in both dc reactive and non-reactive sputtering. Moreover, the anode reduces overheating and increases the operation time of magnetron systems undergoing reactive sputtering of dielectric materials. In one embodiment, the magnetron system has a cylindrical cathode and a pair of elongated anodes positioned parallel to and equidistance from the cathode. The anode structure is particularly suited for sputtering uniform films of dielectric materials, including silicon dioxide and silicon nitride.
    Type: Grant
    Filed: January 23, 1996
    Date of Patent: November 4, 1997
    Assignee: The Boc Group, Inc.
    Inventors: Peter A. Sieck, Russell J. Hill, John L. Vossen, Stephen C. Schulz
  • Patent number: 5681419
    Abstract: A reactive ion etching apparatus comprises a reactive chamber, an upper anode plate, a lower cathode plate, a gas introducing system and a pumping system. The cathode plate is formed as a variable potential electrode. The variable potential electrode is a combination of a conductive material and a nonconductive material.
    Type: Grant
    Filed: December 27, 1995
    Date of Patent: October 28, 1997
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventor: Hak-Soon Yoon
  • Patent number: 5680013
    Abstract: Non-bonded ceramic protection is provided for metal surfaces in a plasma processing chamber, particularly heated metal electrode surfaces, in a plasma processing chamber, to prevent or inhibit attack of the heated metal surfaces by chemically aggressive species generated in the plasma during processing of materials, without bonding the ceramic material to the metal surface. In accordance with the invention the ceramic protection material comprises a thin cover material which is fitted closely, but not bonded, to the heated metal. This form of ceramic protection is particularly useful for protecting the surfaces of glow discharge electrodes and gas distribution apparatus in plasma process chambers used for processing semiconductor substrates to form integrated circuit structures.
    Type: Grant
    Filed: March 15, 1994
    Date of Patent: October 21, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Charles N. Dornfest, John M. White, Craig A. Bercaw, Hiroyuki Steven Tomosawa, Mark A. Fodor
  • Patent number: 5679167
    Abstract: A plasma system forms a dense, uniform coating of metallic oxide or other material on a relatively large substrate of metal foil or other composition located a substantial distance from the plasma gun so that the plasma stream covers the entire width of the substrate. A large pressure differential between the pressure inside the plasma gun and the ambient pressure outside of the plasma gun creates a shock pattern within the exiting plasma-stream so as to disperse the plasma stream and maintain a high energy level therein, as well as thoroughly mixing a coating material introduced into the plasma stream within the gun. Mixing of the coating material within the plasma stream is further enhanced by introducing the coating material into the plasma stream in the form of very small particles. In one arrangement, the plasma stream is delivered in a long, narrow configuration across the width of the substrate by a nozzle with a slit-like opening at the lower end of the plasma gun.
    Type: Grant
    Filed: August 18, 1994
    Date of Patent: October 21, 1997
    Assignee: Sulzer Metco AG
    Inventor: Erich Muehlberger
  • Patent number: 5672208
    Abstract: A plasma discharge apparatus formed with a plasma chamber in which discharge is carried out wherein use is made, as the discharge gas sealed in the plasma chamber, of a mixed gas represented by Ne.sub.100-x Ar.sub.x A.sub.y (wherein, A is Ar, Kr, and/or Xe, x is 10 to 30 percent by volume, and y is 1 to 10 percent by volume). Note that when Kr and Xe are included as A, it is preferable that the Kr be included in an amount of 1 to 5 percent by volume and the Xe in an amount of 1 to 5 percent by volume.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: September 30, 1997
    Assignee: Sony Corporation
    Inventor: Tetsuya Morita
  • Patent number: 5665167
    Abstract: A static chuck and a workpiece push-up pin are disposed on a susceptor which is one of opposed electrodes generating plasma. The push-up pin and the susceptor are electrically connected. A grounding circuit which discharges electric charges remaining on the susceptor is disposed in parallel with an RF power supply circuit which supplies RF power to the susceptor. Thus, electric charges remaining in the power supply circuit can be discharged and an abnormal discharging between the push-up pin and the susceptor can be prevented.
    Type: Grant
    Filed: February 14, 1994
    Date of Patent: September 9, 1997
    Assignee: Tokyo Electron Kabushiki Kaisha
    Inventors: Yoichi Deguchi, Satoru Kawakami, Shiro Koyama, Kenji Ishikawa
  • Patent number: 5665166
    Abstract: Disclosed is a plasma processing apparatus, comprising a first electrode on which an object to be processed is to be disposed, a second electrode arranged to face the first electrode, a high frequency power supply for supplying a high frequency power between the first and second electrodes, a processing gas supplying mechanism for forming a plasma into a region between the first and second electrodes, and a bias potential detecting mechanism for detecting the bias potential of the first electrode. The bias detecting mechanism has a detecting terminal positioned in the vicinity of the object to be processed.
    Type: Grant
    Filed: October 23, 1996
    Date of Patent: September 9, 1997
    Assignee: Tokyo Electron Limited
    Inventors: Youichi Deguchi, Satoru Kawakami, Yoichi Ueda, Mitsuaki Komino
  • Patent number: 5660671
    Abstract: A magnetron plasma processing apparatus includes, a vacuum chamber storing an etching object, a first electrode which is provided in the vacuum chamber and holds the etching object, a second electrode which is disposed in opposition from the first electrode and parallel with the first electrode. A gas-supply unit feeding etching gas to the vacuum chamber while, a magnetic-field generating means is disposed on the part opposite from the first electrode in opposition from the second electrode, and a power-supply unit feeds power to either the first or second electrodes and generates discharge between the electrodes. The magnetic-field generating means is provided with a magnetic block whose both-end surfaces are provided with magnetic poles having polarity inverse from each other, and in addition, a plane recess opposite from the second electrode is provided between both-end surfaces of the magnetic block.
    Type: Grant
    Filed: June 28, 1994
    Date of Patent: August 26, 1997
    Assignees: Tokyo Electron Limited, Kabushiki Kaisha Toshiba
    Inventors: Hiromi Harada, Sinji Kubota, Hiromi Kumagai, Junichi Arami, Keiji Horioka, Isahiro Hasegawa, Haruo Okano, Katsuya Okumura, Yukimasa Yoshida
  • Patent number: 5660740
    Abstract: This invention provides a method of controlling a treatment apparatus including a treatment chamber adjustable to a desired reduced-pressure atmosphere, a mounting table arranged in the treatment chamber to mount an object to be treated, a cooling medium container provided in the mounting table, and a cooling medium supply system for supplying a cooling medium to the cooling medium container and discharging the cooling medium from the cooling medium container. The method includes the steps of treating the object to be treated while decreasing the temperature of the object to be treated by cooling the mounting table by using heat transfer from the cooling medium supplied to the cooling medium container by the cooling medium supply system, and heating the vicinity of a very small gap which traps moisture in a member constituting the treatment apparatus, when the internal temperature of the treatment apparatus is to be raised.
    Type: Grant
    Filed: January 19, 1996
    Date of Patent: August 26, 1997
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuaki Komino
  • Patent number: 5660639
    Abstract: An improved plasma treatment apparatus and method are provided, wherein the flow of ionizable gas across an article surface to be treated is optimized through the use of one or more flow lines having a plurality of distribution orifices therealong. The flow lines closely conform to at least one contour of the article surface.
    Type: Grant
    Filed: October 17, 1995
    Date of Patent: August 26, 1997
    Assignee: Ford Motor Company
    Inventors: Lawrence F. Wilski, Michael D. Tisack
  • Patent number: 5658389
    Abstract: According to a thin film forming method, at least one type of gas is activated to produce a plurality of species having positive or negative charges. The plurality of species pass through an electric field or magnetic field to extract specific species. The specific species are supplied to a substrate surface. Thereafter, the specific species are chemically reacted with each other to form a thin film. This extraction is performed using a difference in track corresponding to a ratio of mass to charge of the species passing through the electric field.
    Type: Grant
    Filed: October 15, 1993
    Date of Patent: August 19, 1997
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Tetsuo Matsuda, Haruo Okano, Tokuhisa Ohiwa
  • Patent number: 5656123
    Abstract: The present invention is directed to a dual frequency capacitively-coupled plasma apparatus for materials processing. According to a first aspect of the present invention, a dual frequency triode reactor includes a VHF (30-300 MHz) RF power supply capacitively coupled to an upper reactor electrode and an HF (0.1-30 MHz) RF power supply capacitively coupled to a lower reactor electrode to which the wafer is attached. The VHF power supply is used to generate and control formation of a low sheath potential, high density plasma for minimum device damage and rapid etching/deposition while the HF power supply is used to provide a DC bias to the wafer substrate. According to a second aspect of the present invention, a tailored, powered upper electrode, at least a portion of which is generally conical in shape, is employed to provide a uniform etch across the diameter of the wafer.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: August 12, 1997
    Assignee: Varian Associates, Inc.
    Inventors: Siamak Salimian, Carol M. Heller, Lumin Li
  • Patent number: 5653810
    Abstract: An apparatus for forming metal film for forming metal films on substrates comprises a reaction chamber, a plurality of first and second electrodes alternately arranged in the reaction chamber, an energy supply means that supplies to the first and second electrodes an electrical energy for generating plasma, a heating means for heating a plurality of substrates disposed between the first and second electrodes, and a gas feed means that feeds into the reaction chamber a starting material gas for forming metal films; the plasma is generated across the first and second electrodes to form metal films on the plurality of substrates.The apparatus can form metal films at a high throughput at one time process, and at a low cost.
    Type: Grant
    Filed: March 17, 1995
    Date of Patent: August 5, 1997
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yuzo Kataoka, Yukihiro Hayakawa
  • Patent number: 5653812
    Abstract: The invention is a method and apparatus for the RF plasma deposition of diamond-like carbon (DLC) and related hard coatings onto the surface of drills; especially microdrills such as printed circuit board drills and printed wire board drills, using a mounting means connected to a source of capacitively coupled RF power. A key feature of the apparatus is that the drills to be coated are the only negatively biased surfaces in the capacitively-coupled system.According to the method, the surface of the drills to be coated are first chemically de-greased to remove contaminants, and inserted into the electronically masked coating fixture of the present invention. The electronically masked fixture includes the powered electrode, the portion of the drills to be coated, an electrically insulated spacer, and an electrically grounded shield plate. Next, the loaded fixture is placed into a plasma deposition vacuum chamber, and the air in said chamber is evacuated.
    Type: Grant
    Filed: September 26, 1995
    Date of Patent: August 5, 1997
    Assignee: Monsanto Company
    Inventors: Rudolph Hugo Petrmichl, Ray Hays Venable, Rickey Leonard Salter, Victor M. Zeeman, Jr.
  • Patent number: 5654043
    Abstract: Method and apparatus for treating a workpiece implantation surface by causing ions to impact the workpiece implantation surface. An implantation chamber defines a chamber interior into which one or more workpieces can be inserted. A support positions one or more workpieces within an interior region of the implantation chamber so that implantation surfaces of the workpieces are facing the interior region. A dopant material in the form of a gas is injected into the implantation chamber to cause the gas to occupy a region of the implantation chamber in close proximity to the one or more workpieces. A plasma of implantation material is created within the interior region of the implantation chamber. First and second conductive electrodes positioned within the implantation chamber include conductive surfaces in proximity to the chamber interior occupied by the one or more workpieces. A voltage source outside the chamber relatively biases the first and second conductive electrodes.
    Type: Grant
    Filed: October 10, 1996
    Date of Patent: August 5, 1997
    Assignee: Eaton Corporation
    Inventors: Jiqun Shao, A. Stuart Denholm