Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 5556474
    Abstract: In a plasma processing apparatus, wherein a power application electrode for generating plasma and an electrode opposed thereto are disposed in a process chamber which can be exhausted to attain a predetermined vacuum pressure, an electric power is applied to the power application electrode to generate the plasma from a process gas introduced between the electrodes, and intended plasma processing is effected on a substrate mounted on one of the electrodes in the plasma, the apparatus includes a particle discharge duct which surrounds a periphery and a rear side of the power application electrode and has an opening at a position neighboring to the periphery of the power application electrode, and an exhaust device connected to the duct at a position corresponding to a central portion of the rear side of the power application electrode.
    Type: Grant
    Filed: December 13, 1994
    Date of Patent: September 17, 1996
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Satoshi Otani, Hiroya Kirimura, Hajime Kuwahara, Takao Tabata, Takahiro Nakahigashi, Hiroshi Murakami
  • Patent number: 5556475
    Abstract: A microwave plasma reactor including a chamber for containing a gas to be energized into a plasma with microwave energy, an electrode having two surfaces in the chamber for radiating microwave energy from one of the surfaces into the chamber to form the plasma proximate the radiating surface, and a waveguide or coaxial conductor for introducing microwave energy onto the other of the two electrode surfaces for providing the energy to form the plasma.
    Type: Grant
    Filed: June 4, 1993
    Date of Patent: September 17, 1996
    Assignee: Applied Science and Technology, Inc.
    Inventors: Matthew M. Besen, Evelio Sevillano, Donald K. Smith
  • Patent number: 5554249
    Abstract: A magnetron plasma etching system has a plurality of processing chambers connected to a common transfer chamber. Each processing chamber has a pair of counter electrodes for generating an electric field and a magnet mechanism for generating a magnetic field having an N-S axis crossing the electric field. All magnetic fields are rotated in the same plane. The rotation of the magnetic fields is controlled by a controller. When one of the magnetic fields is rotated, the other magnetic fields are rotated at equal speed such that the directions of N-S axes thereof are parallel and identical to that of the one of the magnetic fields.
    Type: Grant
    Filed: February 28, 1995
    Date of Patent: September 10, 1996
    Assignee: Tokyo Electron Limited
    Inventors: Makoto Hasegawa, Tsuyoshi Saito, Fumihiko Higuchi, Hideaki Amano, Katsunori Naitoh, Takashi Tozawa, Tatsuya Nakagome, Keiki Ito, Kouji Suzuki
  • Patent number: 5554255
    Abstract: A method and apparatus for a reactive treatment of the surface of a workpiece, in which a process gas is brought into a chamber and a direct voltage arc discharge is generated in the chamber, the arc discharge is assisted or maintained, respectively by a coupling in of a flow of charged particles. In known treatment methods plasma generated in the direct voltage arc are generally distributed inhomogeneously in the inner space of the chamber and the area with a density of the plasma which is sufficient for the reactive surface treatment is relatively small. According to the invention this problem is solved in that the distribution of the effect of the treatment of the plasma in the chamber at least along a predetermined plane is set, and specifically by a setting of an areal distribution of the process gas inlet and/or setting of an areal distribution of the arc discharges in the chamber, in that the flow of charged particles is coupled into the chamber via a plurality of distribution openings.
    Type: Grant
    Filed: April 4, 1994
    Date of Patent: September 10, 1996
    Assignee: Balzers Aktiengesellschaft
    Inventors: Johann Karner, Erich Bergmann
  • Patent number: 5552017
    Abstract: A method for improving the etch rate uniformity and the chemical vapor deposition uniformity in a single-wafer reaction chamber was achieved. The method utilizes an asymmetric gas distribution system to increase the reactant gas flow over regions of the wafer in an etcher where the etch rates are low, and increases the reactant gas flow in CVD deposition reactors over regions of the wafer where the deposition rates are low. More specifically, a modified shower head having an array of orifices that are varied in size and spacing across the shower face are proposed to optimize the uniformity. The method is particularly useful for improving the uniformity near the wafer flat where the uniformity is known to be exceptionally poor in conventional single-wafer reactors.
    Type: Grant
    Filed: November 27, 1995
    Date of Patent: September 3, 1996
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Syun-Ming Jang, Chen-Hua Yu
  • Patent number: 5549756
    Abstract: A temperature measurement system for use in a thin film deposition system is based on optical pyrometry on the backside of the deposition substrate. The backside of the deposition substrate is viewed through a channel formed in the susceptor of the deposition system. Radiation from the backside of the deposition substrate passes through an infrared window and to an infrared detector. The signal output by the infrared detector is coupled to electronics for calculating the temperature of the deposition substrate in accordance with blackbody radiation equations. A tube-like lightguide shields the infrared detector from background radiation produced by the heated susceptor.
    Type: Grant
    Filed: February 2, 1994
    Date of Patent: August 27, 1996
    Assignee: Applied Materials, Inc.
    Inventors: Carl A. Sorensen, Wendell T. Blonigan
  • Patent number: 5549780
    Abstract: An apparatus for generating plasma of helium mainly-contained gas added with halogen element using high-frequency energy which is applied between concentrically-arranged electrodes to conduct an etching treatment on a substrate with the thus-generated plasma, is equipped with a grounded electrode on the surface of the substrate, the grounded electrode serving to prevent electrification or charging of the substrate. A cylindrical insulator is provided between the electrodes so as to be contacted with the outside electrode, and a voltage applied between the central electrode and the cylindrical insulator is heightened by reducing the thickness of the cylindrical insulator or increasing the dielectric constant of the cylindrical insulator, so that low-temperature plasma is generated under atmospheric pressure using argon mainly-contained gas in a reaction space.
    Type: Grant
    Filed: March 22, 1993
    Date of Patent: August 27, 1996
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hideomi Koinuma, Tadashi Shiraishi, Tohru Inoue, Kiyoto Inomata, Shigenori Hayashi, Akiharu Miyanaga, Shunpei Yamazaki
  • Patent number: 5545258
    Abstract: A microwave plasma processing system, wherein a conductor disposed for controlling the anisotropy and the acceleration energy of ions in a plasma has inside a flow path for reactant gasses and a plurality of holes through which the gasses are to be blown toward a sample holder.A microwave plasma processing system, wherein the ratio of the total area of microwave transmission holes to the area of a conductor is set to be in a range of 0.25 to 0.65.A microwave plasma processing system, wherein each microwave transmission hole formed in a conductor has a dimension in the microwave traveling direction greater than that in a direction perpendicular to the traveling direction.
    Type: Grant
    Filed: June 13, 1995
    Date of Patent: August 13, 1996
    Assignees: Sumitomo Metal Industries, Ltd., NEC Corporation
    Inventors: Katsuo Katayama, Kyoichi Komachi, Hiroshi Mabuchi, Takeshi Akimoto
  • Patent number: 5542559
    Abstract: In order to prevent any possible electrical discharge between a lower electrode and a grounded member through a backside gas supply conduit when performing a plasma treatment with a supply of a backside gas such as He gas to the backside of a semiconductor wafer being held by an electromagnetic chuck on the lower electrode for the generation of a plasma within a plasma treatment chamber, the gas supply conduit is fitted therein with cylindrical flowpath members made of two types of electrically insulating materials each having a multiplicity of axially extending small-diameter conduction holes, at a position within an electrically insulating body disposed between the lower electrode and a grounded member. The small diameter conduction holes in the backside gas flowpath serve to increase an electrical discharge start voltage for the prevention of electrical discharge. The formation of the multiplicity of conduction holes provides a large conductance.
    Type: Grant
    Filed: February 14, 1994
    Date of Patent: August 6, 1996
    Assignee: Tokyo Electron Kabushiki Kaisha
    Inventors: Satoru Kawakami, Tsuyoshi Suzuki, Junichi Arami, Yoichi Deguchi
  • Patent number: 5540781
    Abstract: A VHF plasma CVD process in which a cathode electrode is electrically divided into a plurality of elements in the axial direction of a cylindrical substrate, and a very-high-frequency energy with a frequency in the range of 60 MHz to 300 MHz is supplied to each of the divided cathode electrode elements by way of a high frequency power supply means for generating a plasma in a reaction chamber thereby forming a deposited film; and a VHF plasma CVD apparatus suitable for carrying out the VHF plasma process.
    Type: Grant
    Filed: November 23, 1994
    Date of Patent: July 30, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsushi Yamagami, Nobuyuki Okamura, Satoshi Takaki
  • Patent number: 5534069
    Abstract: An active substance treating method is characterized in that an active substance is caused to react with an inactivating substance in an exhaust system for a thin film forming apparatus. A thin film forming apparatus includes a common chamber having a region where plasma CVD is carried out and a region where thermal CVD is carried out, a device provided in the chamber for pressing a substrate onto a holder, a lamp for illuminating light having a component of a wavelength of 1 .mu.m or above to heat the substrate, an introducing port for separately introducing two active substances to a vicinity of the substrate, a vaporizing device in which at least two bubblers are series-connected to vaporize the active substances, and an exhaust system which is divided into two systems each of which has a heater and which has a port for introducing an inactivating substance into an exhaust pump.
    Type: Grant
    Filed: July 19, 1993
    Date of Patent: July 9, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hideshi Kuwabara, Yasushi Kawasumi, Tetsuo Asaba, Kenji Makino, Yuzo Kataoka, Yasuhiro Sekine, Shigeru Nishimura
  • Patent number: 5534314
    Abstract: A process for vapor depositing an evaporant onto a substrate is provided which involves:presenting the substrate to a deposition chamber, wherein the deposition chamber has an operating pressure of from 0.001 Torr to atmospheric pressure and has coupled thereto a carrier gas stream generator and an electron beam gun capable of providing an electron beam at the operating pressure and contains an evaporant source;impinging the evaporant source with the electron beam to generate the evaporant;entraining the evaporant in the carrier gas stream; andcoating the substrate with the carrier gas stream which contains the entrained evaporant, and an apparatus for performing the process.
    Type: Grant
    Filed: August 31, 1994
    Date of Patent: July 9, 1996
    Assignee: University of Virginia Patent Foundation
    Inventors: Haydn N. G. Wadley, James F. Groves
  • Patent number: 5534070
    Abstract: A plasma CVD process comprises conducting film formation in a reaction chamber capable of being substantially vacuumed in which a plurality of cylindrical substrates are spacedly arranged on a concentric circle in said reaction chamber such that a desired discharge space is formed at the central position of the inside of said reaction chamber and a cathode electrode is disposed at the central position of said discharge space, by introducing a film-forming gas into said discharge space and applying a high frequency power from a high frequency power source to said cathode electrode to produce plasma between said plurality of cylindrical substrates and said cathode electrode, whereby forming a deposited film on the surface of each of said plurality of cylindrical substrates, characterized in that an earth shield comprising a non-magnetic material and a soft magnetic material or an insulating material being stacked is disposed at each of the opposite end portions of said cathode electrode, and a very-high-frequen
    Type: Grant
    Filed: November 30, 1994
    Date of Patent: July 9, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuyuki Okamura, Atsushi Yamagami, Satoshi Takaki
  • Patent number: 5531834
    Abstract: A plasma film forming apparatus comprises gas supply means for feeding a processing gas into a processing chamber, a first electrode opposed to an object of processing in the processing chamber, a second electrode in the form of a flat coil facing the first electrode across the object of processing, pressure regulating means for keeping the pressure in the processing chamber at 0.1 Torr or below, heating means for heating the object of processing to a predetermined temperature, and application means for applying radio-frequency power between the first and second electrodes, whereby the processing gas is converted into a plasma such that a film is formed on the surface of the object of processing through reaction of ions or active seeds in the plasma. When radio-frequency power is applied between the pair of electrodes, a radio-frequency electric field is formed. Since one of the electrodes is the flat coil, however, a magnetic field is formed.
    Type: Grant
    Filed: July 12, 1994
    Date of Patent: July 2, 1996
    Assignee: Tokyo Electron Kabushiki Kaisha
    Inventors: Shuichi Ishizuka, Kohei Kawamura, Jiro Hata, Akira Suzuki
  • Patent number: 5532190
    Abstract: In the manufacture of a large-area electronic device such as a large-area liquid-crystal display device with thin-film address and drive circuitry, a plasma treatment is carried out on a device substrate (4) which is mounted on a supporting electrode (11) facing a perforated gas-feeding electrode (12). A reactive plasma (5) is generated in a space between the electrodes (11, 12) from a mixture of reaction gases which is fed into the space through at least the perforated electrode (12). The mixture of gases comprises a first reaction gas (e.g. SiH.sub.4) which is depleted at a faster rate in the plasma treatment than a second reaction gas (e.g N.sub.2). Through an area (12b) of the perforated electrode, one or more second supply lines (22) feeds a secondary mixture which is richer in the first reaction gas than a primary mixture supplied by a first supply line (21).
    Type: Grant
    Filed: May 15, 1995
    Date of Patent: July 2, 1996
    Assignee: U.S. Philips Corporation
    Inventors: Andrew L. Goodyear, Ian D. French
  • Patent number: 5529657
    Abstract: A plasma processing apparatus includes a chamber provided with a susceptor therein for supporting a wafer. A flat coil antenna is mounted on an outer surface of an insulating wall of the chamber to face the wafer. An RF current is supplied to the coil, thereby generating a plasma in the chamber between the coil and the wafer. A focus ring is provided on the susceptor to surround the wafer, which has a projecting portion projecting toward the coil past the surface of the wafer, and consists of an electrical insulator or a high resistor, for directing the plasma generated between the projecting portion and the coil in a direction substantially parallel to the surface of the wafer.
    Type: Grant
    Filed: October 4, 1994
    Date of Patent: June 25, 1996
    Assignee: Tokyo Electron Limited
    Inventor: Nobuo Ishii
  • Patent number: 5529632
    Abstract: A microwave plasma processing system has heating means for heating the wall of a reaction chamber, and an adhesion preventing member in which a cylinder disposed so as to be in contact with the inner face of a wall of the reaction chamber and a microwave reflecting plate having a gas discharge hole are integrally formed.A microwave plasma processing system has heating means for heating the wall of a reaction chamber, and a microwave reflecting plate which is attached to the inner face of a wall of the reaction chamber and which has a gas discharge hole.
    Type: Grant
    Filed: June 13, 1995
    Date of Patent: June 25, 1996
    Assignees: Sumitomo Metal Industries, Ltd., NEC Corporation
    Inventors: Katsuo Katayama, Kyoichi Komachi, Hiroshi Mabuchi, Takeshi Akimoto
  • Patent number: 5527396
    Abstract: A method of quickly depositing a non-single-crystal semiconductor film and forming a silicon-type non-single-crystal photovoltaic device, and a method of continuously manufacturing the photovoltaic devices. By this method the deposited film is formed by decomposing a raw material gas with microwave energy which is lower than the microwave energy required to completely decompose the raw material gas. RF energy is applied at the same time which is higher in energy than the microwave energy. The microwave energy acts on the raw material gas at an internal pressure level of 50 mTorr or lower to form a uniform non-single-crystal semiconductor film with excellent electrical characteristics and reduced light deterioration.
    Type: Grant
    Filed: March 3, 1995
    Date of Patent: June 18, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keishi Saitoh, Tatsuyuki Aoike, Masafumi Sano, Mitsuyuki Niwa, Jinsho Matsuyama, Toshimitsu Kariya, Yuzou Kouda, Ryou Hayashi, Masahiko Tonogaki
  • Patent number: 5527417
    Abstract: A photo-assisted CVD apparatus including a reaction chamber for storing a substrate, an inlet port for feeding a source gas into the reaction chamber, a light source for radiating light on the source gas fed into the reaction chamber to decompose the source gas upon radiating the light, thereby depositing a film on the substrate, an inlet port for supplying an etching gas into the reaction chamber, and a discharge electrode, arranged above the substrate and having a configuration, surrounding a space above the substrate, for exciting the etching gas.
    Type: Grant
    Filed: May 22, 1995
    Date of Patent: June 18, 1996
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshinori Iida, Akihiko Furukawa, Tetsuya Yamaguchi, Michio Sasaki, Hisanori Ihara, Hidetoshi Nozaki, Takaaki Kamimura
  • Patent number: 5527394
    Abstract: Disclosed is an apparatus for plasma-enhanced processing of substrates, hng a recipient in which ions and reactive neutral particles (radicals) formed in plasma act on the substrate.The present invention is distinguished by having means for varying the plasma volume are provided in order to control the absolute values of the ionic current densities and the radical current densities and in order to control the relative ratio of the ionic current densities and the radical current densities on the surface of the substrate.
    Type: Grant
    Filed: May 13, 1994
    Date of Patent: June 18, 1996
    Assignee: Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung e.V.
    Inventors: Friedhelm Heinrich, Peter Hoffmann
  • Patent number: 5522343
    Abstract: The present invention relates to relates to a hydrogenated amorphous silicon carbide used as the surface protecting layer of the photosensitive member for electrohotographic apparatus. In view of not allowing generation of blurring of photosensitive member under the high humidity atmosphere, the content (x) of carbon in the hydrogenated amorphous silicon carbide expressed by the general formula a-Si.sub.1-x C.sub.x :H is in the range of 0.4.ltoreq.x.ltoreq.0.8 and a ratio (TO/TA) of the peak (TO) amlitude appearing in the vicinity of 480 cm.sup.-1 and the peak (TA) amplitude appearing in the vicinity of 150 cm.sup.-1 observed by the laser Raman spectroscopy measurement using the excitation laser of Ar.sup.+ 488 is set to 2.0 or higher.
    Type: Grant
    Filed: February 23, 1994
    Date of Patent: June 4, 1996
    Assignee: Fujitsu Limited
    Inventors: Jun Kodama, Shin Araki
  • Patent number: 5523261
    Abstract: An inductively coupled plasma chamber having a capacitor electrode during cleaning of the plasma chamber.
    Type: Grant
    Filed: February 28, 1995
    Date of Patent: June 4, 1996
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 5522933
    Abstract: Method and apparatus for reducing particulate contamination in microchip processing are disclosed. The method and apparatus comprise means to reduce particle velocity toward the wafer before the particles can be deposited on the wafer surface. A reactor using electric fields to reduce particle velocity and prevent particulate contamination is disclosed. A reactor using a porous showerhead to reduce particle velocities and prevent particulate contamination is disclosed.
    Type: Grant
    Filed: May 19, 1994
    Date of Patent: June 4, 1996
    Inventors: Anthony S. Geller, Daniel J. Rader
  • Patent number: 5522935
    Abstract: A plasma CVD apparatus comprises a housing for defining a reaction chamber, a semiconductor wafer holder and lower electrode located within the housing and configured to hold and support a semiconductor wafer thereon. The semiconductor wafer holder and lower electrode is connected to a common ground terminal. An upper electrode is located within the housing and connected to a radio frequency voltage supply. The an upper electrode is positioned separately from but opposite to the semiconductor wafer holder and lower electrode, so that the radio frequency voltage causes a plasma region on a deposition surface of the semiconductor wafer held by semiconductor wafer holder and lower electrode. An ultraviolet lamp is located to irradiate an ultraviolet ray on the deposition surface of the semiconductor wafer held by the semiconductor wafer holder and lower electrode.
    Type: Grant
    Filed: March 1, 1993
    Date of Patent: June 4, 1996
    Assignee: NEC Corporation
    Inventor: Akira Sato
  • Patent number: 5522937
    Abstract: A susceptor support arm assembly in a substrate processing chamber includes a secure ground connection between the susceptor and ground. An aluminum wire rope is welded to a winged terminal lug which is tightly inserted into a hole in a susceptor hub. The wings of the lug are then welded to the hub. The wire rope, now permanently attached to the susceptor hub, is routed through an opening in the susceptor end of a ceramic susceptor support arm, able to pass the ground end lug of the wire rope, through a channel in the support arm back to the susceptor arm support device, and to ground. The channel in the susceptor arm has grooves in its sides to receive a paddle shaped ceramic cover to enclose the channel and the bottom of the hub end of the susceptor arm. The cover insulates, isolates, and shields the grounding wire and thermocouple leads being routed from the susceptor hub back to the support end of the susceptor arm from exposure to the high intensity radiant energy directed at the back of the susceptor.
    Type: Grant
    Filed: May 3, 1994
    Date of Patent: June 4, 1996
    Assignee: Applied Materials, Inc.
    Inventors: Sandy M. Chew, Dale R. DuBois, Ronald L. Rose, Manus Wong
  • Patent number: 5520142
    Abstract: A gap is defined between an inner region of an end face of a first container member of a container and an inner region of an abutting portion of a second container member, the inner regions being situated inside a seal member. The respective inner regions of the end face of the first container member and the abutting portion of the second container member, which are situated inside the seal member, are prevented from coming into contact with each other when the interior of the container is decompressed. Even though the second container member is bent inward by atmospheric pressure when the container is decompressed to a predetermined degree of vacuum, therefore, the abutting portion thereof cannot come into contact with the inner edge of the end face of the first container member.
    Type: Grant
    Filed: March 24, 1995
    Date of Patent: May 28, 1996
    Assignees: Tokyo Electron Kabushiki Kaisha, Tokyo Electron Tohoku Kabushiki Kaisha
    Inventors: Towl Ikeda, Katsumi Ishii, Yoji Iizuka
  • Patent number: 5518572
    Abstract: An etching system contains a plasma chamber, a charge exchange chamber and a processing chamber. The charge exchange chamber and the processing chamber are partitioned with a porous plate provided with a number of fine linear microchannel holes. Positive ions generated by the plasma chamber are accelerated by an accelerating electrode in the charge exchange chamber, charge-exchanged and introduced as neutral particles through the microchannel holes into the processing chamber. Neutral particles are vertically entered into an object to be processed as neutral particle beams that are completely aligned by the microchannel holes. An object with a large surface area can be etched with high accuracy by making the porous plate a size which corresponds to the object. Thus, plasma processing with only neutral particles is carried out with high accuracy even when the surface area of the object is large.
    Type: Grant
    Filed: May 18, 1995
    Date of Patent: May 21, 1996
    Assignee: Kawasaki Steel Corporation
    Inventors: Osamu Kinoshita, Shigemi Murakawa, Naoki Kubota
  • Patent number: 5515986
    Abstract: An apparatus for plasma treating workpieces in vacuum includes a stack of plasma chambers (20). Handling of workpieces to and from the plasma chambers of the stack is performed in parallelism by one handling device and through lateral handling openings of the plasma chambers. The handling device is rotatable around an axis parallel to the handling openings of the plasma chambers and comprises transport apparatus simultaneously movable radially with respect to the axis of rotation towards and from the handling openings.
    Type: Grant
    Filed: May 3, 1994
    Date of Patent: May 14, 1996
    Assignee: Balzers Aktiengesellschaft
    Inventors: Emmanuel Turlot, Thierry Emeraud, Jacques Schmitt
  • Patent number: 5513765
    Abstract: A plasma generating apparatus includes a vacuum chamber, an inductive-coupling coil wound around the vacuum chamber, a pair of parallel-planar electrodes, each of which electrode has a center on a central axis of the inductive-coupling coil within the vacuum chamber, and is disposed vertically against the central axis, a radio-frequency power supply, and a radio-frequency matching circuit coupled to the radio-frequency power supply. The radio-frequency matching circuit is coupled to at least one of the inductive-coupling coil and the pair of parallel-planar electrodes. The pair of parallel-planar electrodes and the inductive-coupling coil driven by the radio-frequency power supply carry out capacitive-coupling and inductive-coupling so as to be associated with each other, so that capacitively-coupled plasma and inductively-coupled plasma are generated within the vacuum chamber.
    Type: Grant
    Filed: August 17, 1994
    Date of Patent: May 7, 1996
    Assignee: Fujitsu Limited
    Inventor: Kaoru Usui
  • Patent number: 5512155
    Abstract: A film forming apparatus such as a sputtering apparatus, a vacuum evaporation apparatus, or a CVD apparatus, having a film forming substrate and a film forming source both arranged within a film forming chamber, for forming a thin film on the film forming substrate. This film forming apparatus has within the film forming chamber a apparatus part other than the film forming substrate on which a component of the film forming source, e.g., a target, is deposited. At least on the surface of the apparatus part on which the component of the film forming source is deposited is composed of a material whose thermal expansion coefficient is equal or close to that of the thin film to be formed. Even if the component of the film forming source forms a deposition on the apparatus part other than the film forming substrate, the separation of such a deposition due to a thermal stress can be controlled because the thermal expansion coefficients of the deposition and apparatus part are equal or close to each other.
    Type: Grant
    Filed: December 29, 1994
    Date of Patent: April 30, 1996
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshiharu Fukasawa
  • Patent number: 5512510
    Abstract: A method for stably manufacturing, with improved reproducibility, a good amorphous silicon electrophotographic photosensitive member improved in potential characteristics such as chargeability and photoresponse as well as in the effect of reducing photo-memory and defects which cause spot image defects. A film is formed by plasma CVD on a base of the photosensitive member by using electromagnetic waves having a frequency of 13.56 MHz or higher as power for forming plasma under conditions that the spatial potential of plasma generated by the electromagnetic waves with respect to a base of the photosensitive member is not higher than 120 V and the current density of ions incident upon the base is not lower than 0.4 mA/cm.sup.2.
    Type: Grant
    Filed: March 23, 1994
    Date of Patent: April 30, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hitoshi Murayama, Satoshi Kojima
  • Patent number: 5500256
    Abstract: An apparatus for manufacturing a semiconductor device including: a process chamber capable of being evacuated; a wafer susceptor disposed in the process chamber, the wafer susceptor having a plane on which a wafer to be processed is placed; a plurality of gas flow paths forming a structure of a plurality of spirals, facing the table, and being disposed along a flat plane generally parallel to the plane of the susceptor; and a plurality of gas ejecting holes formed in a plane of the gas flow paths facing the susceptor, for and along each gas flow path. A plurality of processes can be performed in the same chamber.
    Type: Grant
    Filed: May 24, 1995
    Date of Patent: March 19, 1996
    Assignee: Fujitsu Limited
    Inventor: Masahiro Watabe
  • Patent number: 5498313
    Abstract: In a plasma or RIE etching tool using a uniquely designed annulus around a wafer supporting pedestal, it has been found that the introduction of one or more gases in the region immediately adjacent the annulus controls the amount of etching of features in that region in the surface of the wafer mounted on the pedestal. By so controlling the amount of gas in this region, the slope of the walls of the etched features can be also controlled.
    Type: Grant
    Filed: November 3, 1993
    Date of Patent: March 12, 1996
    Assignee: International Business Machines Corp.
    Inventors: Michael E. Bailey, Dinh Dang, James G. Michael, Timothy E. Neary, Paul W. Pastel, Sylvia R. R. Tousley, Arthur C. Winslow
  • Patent number: 5498290
    Abstract: A plasma ion implantation apparatus includes a vacuum chamber that receives the object within its walls. The object is supported upon an electrically conductive base that is electrically isolated from the wall of the vacuum chamber. An electrically conductive enclosure is positioned between the object and the wall of the vacuum chamber and supported upon the base. The enclosure is made of an electrically conductive material. A plasma source is positioned so as to create a plasma in the vicinity of the object to be implanted. A voltage source applies an electrical voltage to the base and thence the enclosure relative to the wall of the vacuum chamber. Secondary electrons emitted from the object during implantation are reflected back into the plasma by the enclosure, reducing X-ray production and improving plasma efficiency.
    Type: Grant
    Filed: August 27, 1993
    Date of Patent: March 12, 1996
    Assignee: Hughes Aircraft Company
    Inventors: Jesse N. Matossian, John D. Williams
  • Patent number: 5498291
    Abstract: The invention relates to an arrangement for coating or etching substrates. In this arrangement an HF substrate bias voltage is generated without contact. For this purpose plasma sources are equipped with a bias pot which is disposed at the dark space distance from a substrate carrier and acted upon by HF. Depending on the source used, the bias pot can be constructed as an independent unit or as a component part of the source connected so as to be conducting-for example as an HF magnetron. Via this coupled-in HF power the dc potential on the carrier, and consequently the ion bombardment on the substrate, can be set specifically.
    Type: Grant
    Filed: March 17, 1995
    Date of Patent: March 12, 1996
    Assignee: Leybold Aktiengesellschaft
    Inventors: Manfred Arnold, Guido Blang, Rainer Gegenwart, Jochen Ritter, Helmut Stoll
  • Patent number: 5496594
    Abstract: Apparatus and method for corona-activated chemical vapor deposition. A substrate is positioned in a reaction vessel in all-around spaced relationship from the walls of the vessel. A cage having throughgoing perforations surrounds the substrate and is spaced from the vessel walls and the substrate. A corona discharge is generated along at least an inner surface of the cage by connecting the cage and substrate with a voltage source. A reaction gas mixture is passed through the cage and a coating is formed from the reaction gas mixture on the substrate.
    Type: Grant
    Filed: June 6, 1994
    Date of Patent: March 5, 1996
    Assignee: Widia GmbH
    Inventors: Udo Ko/ nig, Hendrikus van den Berg, Ralf Tabersky
  • Patent number: 5494522
    Abstract: A plasma process system for producing gas plasma in an air-tight chamber by high frequency power to process a substrate with the gas plasma comprising a lower electrode on which the substrate to be plasma-processed is mounted, an upper electrode arranged above the lower electrode, a plasma generator circuit for generating plasma between the upper and the lower electrode, a power source for supplying high frequency power to the plasma generator circuit, and bias generator for generating negative voltage in the upper or lower electrode when high frequency power is supplied from the power source to the upper or lower electrode, wherein the plasma generator circuit includes transformer for supplying a part of high frequency power, which is supplied from the power source, to the bias generator.
    Type: Grant
    Filed: March 17, 1994
    Date of Patent: February 27, 1996
    Assignee: Tokyo Electron Limited
    Inventors: Shuji Moriya, Masahiro Ogasawara, Jun Yashiro, Yoshifumi Tahara, Satoru Kawakami, Susumu Tanaka
  • Patent number: 5494523
    Abstract: A plasma processing apparatus including a wafer supporting pedestal which is designed to reduce particle trapping phenomena. In a region of the pedestal surface which surrounds or abuts the wafer, the pedestal has a permittivity which is substantially equal to or greater than that of the wafer surface. As a result, the sheath boundary is reshaped to reduce particle trapping.
    Type: Grant
    Filed: February 23, 1995
    Date of Patent: February 27, 1996
    Assignee: Applied Materials, Inc.
    Inventors: Robert J. Steger, Charles S. Rhoades, Anand Gupta
  • Patent number: 5490881
    Abstract: In depositing films upon a plate by use of plasma-enhanced chemical vapor deposition, variations in thickness of the film that normally occur at the edges of the plate are reduced by positioning a frame of tiles around the plate. The frame provides a sacrificial edge at which thickness variations at the edge of the film can occur. After deposition, removal of the frame results in improved uniformity of film thickness on the plate itself.
    Type: Grant
    Filed: November 2, 1992
    Date of Patent: February 13, 1996
    Inventor: Robert F. Kwasnick
  • Patent number: 5487786
    Abstract: There is provided a plasma CVD device which can deposit a high-quality a-Si:H or other film at a high rate, and which can improve production efficiency thereof remarkably. The CVD device has a substrate holding electrode and a high frequency application electrode arranged opposite to and parallel to a substrate held by the substrate holding electrode. A material gas introduced between the substrate holding electrode and the high frequency application electrode is decomposed by glow discharge, so that a thin film is deposited on the heated substrate. A first electrode heater for heating a peripheral portion of the high frequency application electrode is installed along the peripheral portion of the high frequency application electrode.
    Type: Grant
    Filed: December 22, 1994
    Date of Patent: January 30, 1996
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Atsushi Chida, Hitoshio Sannomiya, Katsuhiko Nomoto, Hiroshi Okamoto, Yoshihiro Yamamoto
  • Patent number: 5487785
    Abstract: A semiconductor wafer plasma treatment apparatus comprising a processing vessel whose interior is maintained at a predetermined degree of vacuum; a plasma generation means which is arranged on an upper surface of the processing vessel and generates radio-frequency waves into the interior thereof, to cause the generation of a plasma in the process gas within the processing vessel; and a holder for holding a semiconductor wafer that is to be subjected to a predetermined treatment by the plasma generated from the process gas by the action of the plasma generation means, a supply means which supplies process gas into the processing vessel comprises first gas supply pipes that are arranged at positions equidistant in the peripheral direction around the processing vessel and a second gas supply pipe that is arranged at the center of an upper surface of the processing vessel, and gas exhaust means from exhaust gases from the processing vessel is arranged at positions equidistant in the peripheral direction around th
    Type: Grant
    Filed: March 25, 1994
    Date of Patent: January 30, 1996
    Assignee: Tokyo Electron Kabushiki Kaisha
    Inventors: Yasuhiro Horiike, Takayuki Fukasawa
  • Patent number: 5484485
    Abstract: The present invention ameliorates the problem in a plasma reactor of plasma attacking an electrostatic chuck and the wafer periphery backside by placing a magnet in the quartz wall adjacent the wafer peripheral edge.
    Type: Grant
    Filed: October 29, 1993
    Date of Patent: January 16, 1996
    Inventor: Robert A. Chapman
  • Patent number: 5480052
    Abstract: A domed dielectric extension is set atop a standard electrode in a bell jar shaped process chamber to decrease electrical interaction between the electrode and the process chamber and thereby decrease the stagnant plasma in the region between the electrode and the process chamber lid that promotes polymer deposition upon the inner surface of a process chamber lid. The extension, made of a process inert dielectric material such as polycarbonate, has an upper surface that is curved to conform to the shape of the inner surface of the process chamber lid and that is precisely spaced from the upper portion of the process chamber lid inner surface.
    Type: Grant
    Filed: October 22, 1993
    Date of Patent: January 2, 1996
    Assignee: Applied Materials, Inc.
    Inventors: Michael G. Furr, Joseph Kava, Greg Blackburn, Richard McGovern
  • Patent number: 5478399
    Abstract: There is a unitary wafer plasma enhanced chemical vapor deposition (PECVD) holding device. Particularly, there is a unitary device used for holding and rotating wafers during deposition of materials. Uniquely, single wafer holder arms 31 can be mounted and removed from the unitary device. Additionally, each wafer holding arm can individually calibrate the alignment between the parallel pair of arms and calibrate the wafer positioning to the heater. For example, using a simple screw to bias the positioning of the arms.
    Type: Grant
    Filed: December 3, 1993
    Date of Patent: December 26, 1995
    Assignee: Micron Technology, Inc.
    Inventor: Calvin K. Willard
  • Patent number: 5478429
    Abstract: The present invention provides a plasma process apparatus wherein RF power is applied to a process gas, thereby to convert the gas into plasma for processing an object, the apparatus having a process chamber, an upper electrode located in the process chamber and having a gas-supplying section for supplying a process gas, a lower electrode located in the process chamber, having a cooling means, and opposing the upper electrode, for supporting an object, and RF power supplying means electrically connected to the lower electrode, protruding from the process chamber and connected to a RF power supply, for supplying RF power between the upper and lower electrodes, wherein the RF power supplying means includes, an outer conductive pipe surrounding the inner conductive rod and spaced therefrom, and a fixing member inserted between the inner conductive rod and the outer conductive pipe and having concaves and convexes, the inner conductive rod and the outer conductive pipe being electrically connected to an RF power
    Type: Grant
    Filed: January 19, 1994
    Date of Patent: December 26, 1995
    Assignee: Tokyo Electron Limited
    Inventors: Mitsuaki Komino, Yoichi Ueda, Youichi Deguchi, Satoru Kawakami
  • Patent number: 5472509
    Abstract: An apparatus for the treatment of articles with a polymerizate-producing gas plasma is disclosed wherein a set of movable film liners is used to shield the walls of a gas-plasma-containing reaction tunnel from excessive build-up of polymerizate deposits. In an operation involving continuous gas plasma coating of a film, fiber, fabric, tubing or string of workpieces, at least 75 to 90 percent of potential wall deposits are removable by the set of film liners.
    Type: Grant
    Filed: November 30, 1993
    Date of Patent: December 5, 1995
    Assignee: NeoMecs Incorporated
    Inventor: Nomura Hiroshi
  • Patent number: 5472508
    Abstract: An apparatus and method for chemical vapor deposition in which the reactants directed toward a substrate to be provided with one or more films are first subjected to an electric field. The electric field is applied between two electrodes and the reactants become polarized in the field, thus stretching their polarized chemical bonds close to the breaking point. The apparatus also applies voltage pulses between one of the electrodes and the substrate. By adjusting the pulse height, pulse width and pulse repetition rates, the chemical bonds of polarized reactants break to produce free radicals and some ions of the desired elements or compounds. The substrate is kept at a given temperature. The free radicals react to deposit the desired film of high purity on the substrate. The deposition characteristics of the deposited films in terms of isotropic, anisotropic and selective deposition are controlled by the pulse height, width, repetition rates and by other process parameters.
    Type: Grant
    Filed: January 14, 1993
    Date of Patent: December 5, 1995
    Inventor: Arjun N. Saxena
  • Patent number: 5466296
    Abstract: Thin film deposition apparatus, utilizing PECVD, sputtering technologies, etc., essentially constituted by a chamber equipped with one or more electrodes and respective counter-electrodes, screens, gas supply lines, and one or more magnetron sputtering cathode. The chamber is provided with a rotating device loading substrates able to rotate through 180 degrees objects to treat. The chamber can have polygonal geometry, provided to consent installation of one or more kinds of sources. The invention consents, in a single vacuum cycle the deposition of hardening and anti-reflecting layers on plastic material and it does not need frequent recharges.
    Type: Grant
    Filed: April 4, 1994
    Date of Patent: November 14, 1995
    Assignee: CE.TE.V Centro Technologie Del Vuoto
    Inventors: Carlo Misiano, Enrico Simonetti, Giovanni Taglioni
  • Patent number: 5464667
    Abstract: A process and apparatus for the plasma deposition of a carbon-rich coating onto a substrate is provided. This method includes the steps of: providing a substrate in a vacuum chamber; and generating a carbon-rich plasma in the vacuum chamber by injecting a plasma gas into a hollow cathode slot system containing a cathode made of two electrode plates arranged parallel to each other, providing a sufficient voltage to create and maintain a carbon-rich plasma in the hollow cathode slot system, and maintaining a vacuum in the vacuum chamber sufficient for maintaining the plasma. The plasma is deposited on the substrate to form a carbon-rich coating.
    Type: Grant
    Filed: August 16, 1994
    Date of Patent: November 7, 1995
    Assignee: Minnesota Mining and Manufacturing Company
    Inventors: Gunter A. Kohler, Seth M. Kirk, Gary J. Follett
  • Patent number: 5460684
    Abstract: The plasma etching apparatus for a semiconductor wafer includes a susceptor provided in the vacuum process chamber. An electrostatic chuck for attracting and holding the wafer is provided on the susceptor. The electrostatic chuck comprises a chuck electrode provided on the susceptor via an insulative layer. The chuck electrode is connected to the positive terminal of the DC power supply via a switch. The chuck electrode is coated with a resistive layer, and the wafer is placed directly on the resistive layer. The resistive layer exhibits an electric resistivity of 1.times.10.sup.10 .OMEGA..multidot.cm to 1.times.10.sup.12 .OMEGA..multidot.cm in a temperature range for etching. The resistive layer is formed to have such a surface roughness that a center line average hight falls within a range of 0.1 to 1.5 .mu.m.
    Type: Grant
    Filed: December 3, 1993
    Date of Patent: October 24, 1995
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Teruo Asakawa, Noboru Masuoka, Masaki Kondo