Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 5652029
    Abstract: In a plasma processing apparatus including a cylindrical electrode and plural electrodes which are disposed to face the cylindrical electrode in a circumferential direction of the cylindrical electrode, the plural electrodes are designed to have the prescribed curvature corresponding to that of the surface of the cylindrical electrode. The interval between the cylindrical electrode and the plural electrodes may be fixed or stepwise varied in the circumferential direction. The area of each of the plural electrodes may be different from that of the other electrodes.
    Type: Grant
    Filed: March 23, 1995
    Date of Patent: July 29, 1997
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kenji Itoh
  • Patent number: 5651867
    Abstract: A plasma processing apparatus comprising: a vacuum container; an evacuation means for keeping the interior of the vacuum container at a pressure not higher than atmospheric pressure; a substrate support device for supporting a substrate to be subjected to plasma processing; an electrode for generating plasma in cooperation with the substrate support; a voltage supply for applying a voltage to the electrode; a gas introducing system for introducing a gaseous material into a space where the plasma is produced; a surrounding member for enclosing the space above the substrate support, and a drive for relatively moving the surrounding member to space an end of the surrounding member proximate from the substrate from at least one of the substrate support and the substrate supported thereon by a distance which is short enough to suppress plasma leakage during the plasma processing and to position the end of the surrounding member away from said at least one of the substrate support and the substrate thereon for char
    Type: Grant
    Filed: October 2, 1990
    Date of Patent: July 29, 1997
    Assignee: Hitachi, Ltd.
    Inventors: Yuichi Kokaku, Hiroyuki Kataoka, Makoto Kitoh, Shigehiko Fujimaki, Satoshi Matsunuma, Kenji Furusawa, Nobuo Nakagawa, Katsuo Abe, Masaaki Hayashi
  • Patent number: 5650013
    Abstract: A chemical vapor reaction processing apparatus including a reaction chamber; a power source; a source of a reactive film forming gas; a device for inputting the reactive film forming gas into the chamber; a pair of electrodes connected to the power source, at least a portion of the pair of electrodes being provided in the reaction chamber; a power source for supplying a first electric power into the reaction chamber through the pair of electrodes to generate a plasma of the reactive film forming gas in the chamber for providing a plasma CVD deposition of the reactive film forming gas on a surface; a source of a reactive cleaning gas; a device for inputting the reactive cleaning gas into the chamber where the power source supplies a second electric power into the reaction chamber through the pair of electrodes to generate a plasma of the reactive cleaning gas in the chamber so that an inner wall of the chamber is cleaned by the plasma.
    Type: Grant
    Filed: November 30, 1994
    Date of Patent: July 22, 1997
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 5648175
    Abstract: A method of and apparatus for depositing a silicon oxide layer onto a wafer or substrate is provided. The present method includes introducing into a processing chamber a process gas including silicon, oxygen, boron, phosphorus and germanium to form a germanium doped BPSG oxide layer having a reflow temperature of less than 800.degree. C. Preferred embodiments of the present method are performed in either a subatmospheric CVD or a plasma enhanced CVD processing apparatus.
    Type: Grant
    Filed: February 14, 1996
    Date of Patent: July 15, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Kathleen Russell, Stuardo Robles, Bang C. Nguyen, Visweswaren Sivaramakrishnan
  • Patent number: 5647913
    Abstract: A plasma reactor includes, a) an electrically insulative shell forming a reactor cavity, the reactor cavity having internal walls; b) inductive coils positioned externally of the cavity; and c) a capacitive coupling plate positioned externally of the cavity intermediate the cavity and inductive coils, a power source being operably connected with the capacitive coupling plate. A method of cleaning away material adhering to internal walls of a plasma reactor includes, a) injecting a cleaning gas into the reactor, the cleaning gas comprising a species which when ionized is reactive with material adhering to the internal plasma reactor walls; and b) generating a capacitive coupling effect between a pair of conductors, at least one of which is positioned externally of the plasma reactor, effective to both ionize the cleaning gas into the reactive ionized species and draw such ionized species in the direction of the external conductor to impact and clean away material adhering to the reactor internal walls.
    Type: Grant
    Filed: May 25, 1995
    Date of Patent: July 15, 1997
    Assignee: Micron Technology, Inc.
    Inventor: Guy Blalock
  • Patent number: 5647912
    Abstract: A permeable container having a plurality of holes whose diameters are equal to or less than two times the sheath length is provided in a vacuum container. provided at the bottom of this permeable container is an electrode on which an object to be processed is to be placed. A high-frequency power supply is connected to this electrode, and the permeable container is grounded. The permeable container and the electrode are insulated from each other by an insulator. When a process gas supplied into the vacuum container is guided into the permeable container through its holes and a high-frequency voltage is applied to the electrode, plasma is produced in the permeable container. Because the diameters of the holes are equal to or less than two times the sheath length, plasma is trapped inside the permeable container, thus improving the stability and density of plasma.
    Type: Grant
    Filed: April 1, 1996
    Date of Patent: July 15, 1997
    Assignee: NEC Corporation
    Inventors: Takahiro Kaminishizono, Takeshi Akimoto
  • Patent number: 5643364
    Abstract: A plasma chamber RF excitation system includes a high frequency RF power source having a fixed RF match circuit at its output and sensing and control apparatus for sensing the amount of RF power delivered by the RF power source and for regulating the output power level of the RF power source so as to maintain the RF power delivered by the RF power source at a desired level, and an RF plasma chamber including an RF radiator. The power source is mounted proximate or directly on the plasma chamber so that the distance between them is much less than an eighth of a wavelength at thr frequency of the RF source. The system may further include an endpoint detector for a plasma etch process or a chamber cleaning process which halts the process when the VSWR or reflected power ceases to change in response to the progress of the etch process.
    Type: Grant
    Filed: May 2, 1996
    Date of Patent: July 1, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, Stefan Wolff, Kenneth Smyth, William Nixon Taylor, Jr., Gerald McNutt
  • Patent number: 5643394
    Abstract: The invention is embodied in a gas injection apparatus for injecting gases into a plasma reactor vacuum chamber having a chamber housing, a pedestal holding a workpiece to be processed, means for applying RF energy into the chamber, the gas injection apparatus having a gas supply containing an etchant species in a gas, an opening in the chamber housing, a gas feed line from the supply to the opening in the chamber housing, and gas distribution apparatus near the opening in the chamber housing, the gas feed apparatus having at least one slit nozzle facing the interior of the chamber. In a preferred embodiment, the gas distribution apparatus includes a disk member surrounded by at least one annular member with a gap therebetween comprising the slit nozzle, the disk member and annular member blocking gas flow through the opening in the chamber housing. Preferably, each of the members of the gas distribution apparatus comprises a material at least nearly impervious to attack from the etchant species.
    Type: Grant
    Filed: September 16, 1994
    Date of Patent: July 1, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Dan Maydan, Steve S. Y. Mak, Donald Olgado, Gerald Zheyao Yin, Timothy D. Driscoll, Brian Shieh, James S. Papanu
  • Patent number: 5639309
    Abstract: The present invention provides an apparatus for a batch processing of a plurality of substrates at a time with plasma gas. The above chamber comprises the following elements. A chamber is provided for a batch processing of a plurality of substrates at a time with plasma gas. A plurality of sampling stages are accommodated within the chamber. The sampling stages are spaced apart from each other. Bottom electrodes are provided on the plurality of sampling stages. The bottom electrodes are spaced apart from each other so that substrates are placed on the bottom electrodes. The same number of top electrodes, as the bottom electrodes, are provided over a space which is positioned over the substrates. The top electrodes are positioned to make pairs with the bottom electrodes so that the substrates are positioned between the top and bottom electrodes paired respectively.
    Type: Grant
    Filed: March 15, 1996
    Date of Patent: June 17, 1997
    Assignee: NEC Corporation
    Inventor: Takeshi Akimoto
  • Patent number: 5628869
    Abstract: A semiconductor integrated circuit is made by a process including the formation on a surface of a semiconductor integrated circuit processing wafer of a layer of material applied to the wafer by plasma enhanced chemical vapor deposition (PECVD). The layer of material may include plural sub-layers, the thicknesses of which are additive to result in the thickness of the layer of material itself. The sub-layers of material may have non-uniform thicknesses across a dimension of the processing wafer because of compromises in the process which are necessary to control various parameters of the material layer other than its thickness. These non-uniformities of thickness of the sub-layers may be controlled to offset one another so that the resulting layer of material has a substantially uniform thickness across the dimension of the processing wafer.
    Type: Grant
    Filed: May 9, 1994
    Date of Patent: May 13, 1997
    Assignee: LSI Logic Corporation
    Inventor: Thomas G. Mallon
  • Patent number: 5628829
    Abstract: Method and apparatus are disclosed for low temperature deposition of CVD and PECVD films utilizing a gas-dispersing showerhead position within one inch of a rotating substrate. The showerhead is positioned a suitable distance below a gas-dispensing apparatus such as a steady stay flow of gas develops between the ring and showerhead. A cylindrical structure extends between the gas-dispersing ring and a showerhead to contain the gas over the showerhead yielding a small boundary layer over the substrate to ensure efficient uniform deposition of a film on a substrate surface. In the one embodiment of the present invention the showerhead is bias with RF energy such that it acts as an electrode to incite a plasma proximate with the substrate for PECVD. The cylinder is isolated from the showerhead such as by a quartz insulator ring to prevent ignition of a plasma within the cylinder, or alternatively, the cylinder is fabricated of zquartz material.
    Type: Grant
    Filed: June 3, 1994
    Date of Patent: May 13, 1997
    Assignee: Materials Research Corporation
    Inventors: Robert F. Foster, Joseph T. Hillman, Rikhit Arora
  • Patent number: 5626678
    Abstract: A new susceptor design for used in plasma processing of substrates is provided. The susceptor is made of metal and serves as one of the electrodes in a parallel plate plasma reactor. The susceptor is flat and has no lip at its rim. A substrate having substantially the same diameter as the susceptor is placed on and covers the susceptor. This arrangement allows a uniform electric field to be formed across the whole surface of the substrate. As a result, the deposition on the surface of the substrate is uniform.
    Type: Grant
    Filed: June 20, 1995
    Date of Patent: May 6, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Turgut Sahin, David W. Cheung
  • Patent number: 5614025
    Abstract: A plasma processing apparatus for processing wafers or similar objects with neutral particles produced from plasma. A microwave is introduced into the apparatus via a dielectric path, or waveguide, having a broad radiation area. The microwave, therefore, generates uniform and dense plasma over a broad area. Neutral particles are produced from the plasma by two electrodes. As a result, an object is processed at a high speed by a beam of uniformly distributed neutral particles.
    Type: Grant
    Filed: December 7, 1994
    Date of Patent: March 25, 1997
    Assignee: NEC Corporation
    Inventor: Takeshi Akimoto
  • Patent number: 5614055
    Abstract: In one aspect, the invention is embodied in an RF inductively coupled plasma reactor including a vacuum chamber for processing a wafer, one or more gas sources for introducing into the chamber reactant gases, and an antenna capable of radiating RF energy into the chamber to generate a plasma therein by inductive coupling, the antenna lying in a two-dimensionally curved surface. In another aspect, invention is embodied in a plasma reactor including apparatus for spraying a reactant gas at a supersonic velocity toward the portion of the chamber overlying the wafer. In a still further aspect, the invention is embodied in a plasma reactor including a planar spray showerhead for spraying a reactant gas into the portion of the chamber overlying the wafer with plural spray nozzle openings facing the wafer, and plural magnets in an interior portion of the planar spray nozzle between adjacent ones of the plural nozzle openings, the plural magnets being oriented so as to repel ions from the spray nozzle openings.
    Type: Grant
    Filed: August 27, 1993
    Date of Patent: March 25, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Fairbairn, Romuald Nowak
  • Patent number: 5614273
    Abstract: A process and apparatus for plasma-activated electron beam vaporization is rovided. The vaporizing material from at least two vaporizer crucibles is vaporized with electron beams. An electric voltage is applied to the vaporizer crucibles in such a way that the vapor-emitting areas serve as electrodes of an electric discharge. The vaporizing material acts as a cathode or anode. The process and apparatus are preferably intended for the reactive coating of large surfaces and for the reactive coating of components, tools and strip steel.
    Type: Grant
    Filed: April 29, 1996
    Date of Patent: March 25, 1997
    Assignee: Fraunhofer-Gesellschaft zur Foerderung der angewandten Forschung, e.V.
    Inventors: Klaus Goedicke, Bert Scheffel, Jonathan Reschke, Siegfried Schiller, Volker Kirchhoff, Torsten Werner
  • Patent number: 5611862
    Abstract: An apparatus and method for simultaneously coating a large number of cylindrical substrates employs plural pairs of power electrode and the ground electrodes connected with an independent power sources in order to promote the uniform coating of the substrates. The apparatus uses a high-frequency plasma chemical deposition method and comprises a power supply system, a gas supply system, and a vacuum system. These systems are operatively connected to a reactor. The reactor comprises plural electrodes, workpiece supports, insulators, annular ground electrodes, gas outlets and gas supply means. The method comprises a step for stacking the substrates or the workpiece supports and combining them with the electrodes, a step for introducing gas to the reactor, a step for applying power to the power electrodes, and a step or maintaining the pressure in the reactor constant.
    Type: Grant
    Filed: August 15, 1995
    Date of Patent: March 18, 1997
    Assignee: Korea Institute of Science and Technology
    Inventors: Kwang-Ryeol Lee, Kwang-Yong Eun
  • Patent number: 5611863
    Abstract: An ECR plasma CVD apparatus includes a plasma generation chamber to which a microwave and a plasma source gas are introduced. An excitation solenoid is arranged around the plasma generation chamber to form an electron cyclotron resonance magnetic field with the microwave in the plasma generation chamber. A plasma reaction chamber to which a reactive gas is introduced is provided in communication with the plasma generation chamber. A substrate holder for holding a silicon wafer is set in the plasma reaction chamber. A leakage-type butterfly valve whose opening degree can be freely controlled is arranged in communication with the plasma reaction chamber. A turbo molecular pump is formed in the outlet of the butterfly valve. A subpump is arranged in the outlet of the turbo molecular pump.
    Type: Grant
    Filed: August 21, 1995
    Date of Patent: March 18, 1997
    Assignees: Tokyo Electron Limited, Tokyo Electron Tohoku Limited
    Inventor: Katsushin Miyagi
  • Patent number: 5609690
    Abstract: A vacuum plasma processing apparatus includes a vacuum processing container accommodating a to-be-processed substrate, a feeding device for feeding a reaction gas to the container, a vacuumizing device for discharging a gas in the container therefrom, a susceptor for holding the to-be-processed substrate arranged in the container, split electrodes arranged in a deltaic lattice at a wall surface of the container facing the to-be-processed substrate, and a power source unit for impressing to the slit electrodes three-phase RF powers having three phases different from each other. When the electrodes are arranged in an orthogonal lattice at the wall surface of the continuer, the power source unit impresses thereto two-phase RF powers having two phases different from each other.
    Type: Grant
    Filed: February 15, 1995
    Date of Patent: March 11, 1997
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Syouzou Watanabe, Masaki Suzuki, Ichiro Nakayama, Tomohiro Okumura
  • Patent number: 5609691
    Abstract: The window of a holding electrode is a rectangular opening and an insulating plate is securely fitted in this window. The insulating plate has a rectangular flange shape having a rectangular opening. A glass substrate is securely fitted in the opening of the insulating plate. While the insulating plate may be a low-cost glass plate or quartz plate, the glass plate made of the same material as the glass substrate is used. A predetermined raw gas is supplied into a reaction chamber to set the pressure therein to a predetermined level, and high-frequency power is applied between the glass substrate and the electrode. Because the peripheral portion of the glass substrate is surrounded by the insulating plate, it is possible to avoid the influence of the disturbance of the electric field which would otherwise occur at the interface between the substrate surface and the surface of the holding electrode due to the surface of the glass substrate being charged up when growing a film.
    Type: Grant
    Filed: November 27, 1995
    Date of Patent: March 11, 1997
    Assignee: NEC Corporation
    Inventor: Shuki Yamamori
  • Patent number: 5605576
    Abstract: An object of the present invention is to increase the energy efficiency of a plasma apparatus and provide a high-frequency magnetron plasma apparatus which can precisely control plasma. The plasma apparatus has a susceptor electrode, a plasma exciting electrode, magnets mounted on the plasma exciting electrode, and a magnetic shield provided around the plasma exciting electrode, all of which are arranged in a vacuum chamber. The magnetic shield has a high impedance for a high frequency. The magnetic shield is preferably earthed with a direct current, more preferably earthed through an inductance.
    Type: Grant
    Filed: November 9, 1994
    Date of Patent: February 25, 1997
    Assignees: Frontec Incorporated, Tadahiro Ohmi
    Inventors: Makoto Sasaki, Hirofumi Fukui, Masami Aihara, Tadahiro Ohmi
  • Patent number: 5605637
    Abstract: A plasma chamber, and a related method for its use, in which the direct current (dc) bias on a wafer-supporting cathode is reduced by including a plasma shield that blocks plasma from reaching a region of the chamber and thereby reduces the effective surface area of a grounded anode electrode. The plasma shield has a number of narrow slits through it, small enough to preclude the passage of plasma through the shield, but large enough to permit pumping of process gases through the shield. The dc bias is further controllable by installing a chamber liner of dielectric or other material to cover a selected portion of the inside walls of the chamber. The liner also facilitates cleaning of the chamber walls to remove deposits resulting from plasma polymerization.
    Type: Grant
    Filed: December 15, 1994
    Date of Patent: February 25, 1997
    Assignee: Applied Materials Inc.
    Inventors: Hongching Shan, Evans Lee, Robert Wu
  • Patent number: 5599396
    Abstract: An inductively coupled plasma chamber having a capacitor electrode during cleaning of the plasma chamber.
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: February 4, 1997
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 5591268
    Abstract: An apparatus for manufacturing a semiconductor device having: a process chamber capable of being evacuated; a coil unit for generating an alternating magnetic field in the process chamber; a conductive partition unit disposed in the process chamber for defining an inner space and generating another alternating magnetic field which cancels a change in the alternating magnetic field generated in the inner space, the partition unit allowing gas to be transported between the inner space and a space outside of the inner space; a pipe for supplying process gas to the process chamber; and a pipe for exhausting gas from said process chamber. The gas supply pipe and gas exhaust pipe have a plurality of openings directed to the inner space. Radicals are efficiently generated by inductively coupled plasma, and efficiently transported by a gas flow into time inner space.
    Type: Grant
    Filed: July 21, 1995
    Date of Patent: January 7, 1997
    Assignee: Fujitsu Limited
    Inventors: Kaoru Usui, Shou Chiba
  • Patent number: 5589007
    Abstract: A photovoltaic element comprises a first non-monocrystalline silicon-containing semiconductor layer of a first-conductivity type, a first i-type non-monocrystalline silicon-containing semiconductor layer formed by microwave plasma CVD, a second i-type non-monocrystalline silicon-containing semiconductor layer formed by high-frequency plasma CVD, and a second non-monocrystalline silicon-containing semiconductor layer of a conductivity type opposite to the first-conductivity type, wherein the second semiconductor layer is formed by plasma doping.
    Type: Grant
    Filed: September 5, 1995
    Date of Patent: December 31, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasushi Fujioka, Shotaro Okabe, Masahiro Kanai, Hideo Tamura, Atsushi Yasuno, Akira Sakai, Tadashi Hori
  • Patent number: 5589002
    Abstract: A gas distribution plate for a semiconductor wafer process chamber has a symmetrical pattern of non-circular openings formed therein for the passage of gas therethrough. The smaller axis of the non-circular openings should be at least about 127 .mu.m (5 mils), and preferably at least about 254 .mu.m (10 mils), but less than about 762 .mu.m (30 mils), and preferably less than about 635 .mu.m (25 mils). The larger axis is greater than the smaller axis, preferably at least about 635 .mu.m (25 mils), and most preferably at least about 762 .mu.m (30 mils). At least some of the walls of the non-circular openings are preferably not perpendicular to the plane of the face of the gas distribution plate, but are rather slanted, at an angle of from at least 30.degree. to less than 90.degree., toward the center or axis of the outer face of the circular gas distribution plate which faces the wafer.
    Type: Grant
    Filed: March 24, 1994
    Date of Patent: December 31, 1996
    Assignee: Applied Materials, Inc.
    Inventor: Yuh-Jia Su
  • Patent number: 5587045
    Abstract: Apparatus controls a wafer potential in a plasma system when the plasma is off to keep the wafer slightly negative at all times in order to reduce and eliminate the collection of charged particles on the wafer. The apparatus allows the wafer bias to be reduced to a small negative voltage and then holds that voltage. This greatly reduces the net positive flux to the wafer. A diode and a programmed power supply hold a minimum negative voltage on the back of the wafer electrode when the plasma density is decaying to zero.
    Type: Grant
    Filed: April 27, 1995
    Date of Patent: December 24, 1996
    Assignee: International Business Machines Corporation
    Inventors: John H. Keller, Gregory Costrini
  • Patent number: 5587207
    Abstract: An improved vacuum arc coating apparatus is provided, having a tube defining reaction zone with a plasma channel defined within a series of aligned annular substrate holders, or between an outer wall of an axial chain of substrate holder blocks and the inner wall of the tube. The substrate holders thus act as a liner, confining an arc within the plasma channel. Carrier and plasma-creating gases and the reaction species are introduced into the tube, and the deposition process may be carried out at a pressure between 100 Torr and 1000 Torr. Magnetic coils may be used to create a longitudinal magnetic field which focuses the plasma column created by the arc, and to create a transverse magnetic field which is used to bias the plasma column toward the substrates. Substrates can thus be placed anywhere within the reaction zone, and the transverse magnetic field can be used to direct the plasma column toward the substrate, or the tube itself can be rotated to pass the substrate through the plasma column.
    Type: Grant
    Filed: August 24, 1995
    Date of Patent: December 24, 1996
    Inventor: Vladimir I. Gorokhovsky
  • Patent number: 5584933
    Abstract: A process for plasma deposition is disclosed, which permits increasing the plasma deposition processing capacity. In a single substrate processing plasma CVD apparatus, chamber plasma cleaning (II) is done once for every plural deposition cycles (I). If necessary, for each deposition cycle the intensity of the electric field applied between pair electrodes is varied (for instance, increased to an extent corresponding to the deposition capacity reduction).
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: December 17, 1996
    Assignee: Sony Corporation
    Inventor: Masaki Saito
  • Patent number: 5584971
    Abstract: This invention provides a method of controlling a treatment apparatus including a treatment chamber adjustable to a desired reduced-pressure atmosphere, a mounting table arranged in the treatment chamber to mount an object to be treated, a cooling medium container provided in the mounting table, and a cooling medium supply system for supplying a cooling medium to the cooling medium container and discharging the cooling medium from the cooling medium container. The method includes the steps of treating the object to be treated while decreasing the temperature of the object to be treated by cooling the mounting table by using heat transfer from the cooling medium supplied to the cooling medium container by the cooling medium supply system, and heating the vicinity of a very small gap which traps moisture in a member constituting the treatment apparatus, when the internal temperature of the treatment apparatus is to be raised.
    Type: Grant
    Filed: July 1, 1994
    Date of Patent: December 17, 1996
    Assignee: Tokyo Electron Limited
    Inventor: Mitsuaki Komino
  • Patent number: 5582647
    Abstract: A material supplying apparatus includes a container for storing a solution; an inlet pipe for introducing a carrier gas and an outlet pipe; electrodes disposed in the container over the depth direction of the container and forming a capacitor; and apparatus for calculating a residual amount of the solution in the container from the capacitance of the capacitor formed by the electrodes. The solution is used as the dielectric of the capacitor formed in the container and the capacitance varies according to the quantity of solution so that the residual amount of the solution and the time to replenish the solution are calculated in a simple structure having no moving parts.
    Type: Grant
    Filed: December 19, 1994
    Date of Patent: December 10, 1996
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Manabu Kato, Takashi Motoda, Tatsuya Kimura, Kaoru Kadoiwa, Zempei Kawazu, Nariaki Fujii
  • Patent number: 5580384
    Abstract: A PECVD coating apparatus includes a vacuum coating chamber for performing a PECVD process. At least one pair of spaced apart electrodes is positioned within the chamber and powered by an electric power supply generator to produce a plasma discharge generating voltage between the electrodes. Gas is fed between the electrodes in the chamber for producing a plasma discharge reaction that, in turn, forms a coating reaction product. A holding member is placed in the chamber for positioning one or more workpieces with their opposite surfaces at equally spaced positions from each of the electrodes of the pair of electrodes. The workpieces are electrically isolated from the chamber through the holding member so that a free floating electrical potential is maintained on the workpieces. As a result, the opposite surfaces of the workpieces are substantially identically coated in the chamber using the PECVD process.
    Type: Grant
    Filed: April 3, 1995
    Date of Patent: December 3, 1996
    Assignee: Balzers Aktiengesellschaft
    Inventors: Francis Thiebaud, Heinrich Zimmermann
  • Patent number: 5578129
    Abstract: An etching system for processing a semiconductor wafer has a processing chamber and a load lock chamber. The load lock chamber includes an airtight casing having openings through which the wafer is transferred, and each of the openings is openably and airtightly closed by a gate valve. A transfer arm for carrying the wafer is provided within the casing. A gas supplying system for supplying an inert gas and an exhausting system are connected to the casing. A gas supplying head is connected to the inner end of the gas supplying system, and has an outlet filter which is made of a porous ceramic plate formed into a cylinder. The porous ceramic plate has a multi-layer structure consisting of supporting, intermediate and filtering layers. The average pore diameter of the filtering layer is from 0.8 .mu.m to 0.1 .mu.m, and the porosity thereof is from 10% to 50%.
    Type: Grant
    Filed: August 17, 1995
    Date of Patent: November 26, 1996
    Assignee: Tokyo Electron Limited
    Inventor: Shuji Moriya
  • Patent number: 5578130
    Abstract: A plasma apparatus for forming a diamond-like carbon layer on a magnetic substance including a central columnar electrode; an outer electrode around the central electrode in a coaxial relation such that a discharge space is formed therebetween; a dielectric member located between the central electrode and the outer electrode; a device for introducing a reactive gas containing at least a carbon compound gas from one end of the discharge space; a device for applying a high frequency voltage between the central electrode and the outer electrode to form a plasma of the reactive gas; a device for flowing a shield gas to prevent the plasma from directly contacting ambient air; a substrate located in the vicinity of another end of the discharge space so that a carbon coating is formed on the substrate from the plasma.
    Type: Grant
    Filed: January 31, 1994
    Date of Patent: November 26, 1996
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shigenori Hayashi, Shunpei Yamazaki
  • Patent number: 5575855
    Abstract: A deposited film forming method includes the steps of: continuously carrying a long substrate into or out of a vacuum chamber, flowing a first deposited film forming gas in a reverse direction parallel to the substrate and opposite to a conveying direction of the substrate from first gas discharging means into the vacuum chamber, exhausting the gas from first gas exhausting means, flowing a second deposited film forming gas in a forward direction parallel to the substrate and equivalent to the conveying direction of the substrate, exhausting the gas through the second gas exhausting means, and applying a discharge energy to the first and second gases.
    Type: Grant
    Filed: May 3, 1995
    Date of Patent: November 19, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masahiro Kanai, Yasushi Fujioka, Takehito Yoshino, Tadashi Hori
  • Patent number: 5573595
    Abstract: A device for generating plasma for use in semiconductor fabrication, which includes a first radio frequency excitation source for outputting a first excitation current having a first phase and a first amplitude. The device further includes a second radio frequency excitation source for outputting a second excitation current having a second phase and a second amplitude and a plasma generating element having a first end and a second end for receiving respectively the first excitation current and the second excitation current. Moreover, the inventive device includes a control circuit having a control input for receiving a user-variable signal indicative of a desired phase difference between the first phase and the second phase.
    Type: Grant
    Filed: September 29, 1995
    Date of Patent: November 12, 1996
    Assignee: Lam Research Corporation
    Inventor: Robert D. Dible
  • Patent number: 5573596
    Abstract: A reactive ion etching or magnetically enhanced reactive ion etching system consists of a cathode support structure, a shield structure disposed around the cathode, an insulator disposed between the cathode and the shield structure, and a clamping ring capable of mating with the top edge of the insulator. The insulator has a generally cylindrical shape with a flange that extends outward between the shield structure and the clamping ring. A gap between the clamping ring and the top edge of the insulator is controlled to 20 thousandths of an inch or less to restrict an RF coupling path between the shield and the cathode. In addition, the flange acts to interrupt the plasma conduction path between the shield structure and the cathode. By inhibiting plasma conduction between the shield and the cathode, reactive ion etching systems in accordance with the present invention operate in a higher pressure, higher power regime without arcing or exciting a secondary plasma.
    Type: Grant
    Filed: January 28, 1994
    Date of Patent: November 12, 1996
    Assignee: Applied Materials, Inc.
    Inventor: Gerald Z. Yin
  • Patent number: 5573597
    Abstract: The plasma processing system comprises a processing chamber with a processing space therein to contain a substrate. An electrical element is operable to couple electrical energy into the processing space to generate a plasma and is further operable to interrupt the power to the processing space to extinguish the plasma upon completion of the processing. An electrode positioned inside the chamber is electrically coupled to the substrate and to a DC bias power supply which selectively supplies DC power to the electrode to bias the substrate.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: November 12, 1996
    Assignees: Sony Corporation, Materials Research Corp.
    Inventor: Alexander D. Lantsman
  • Patent number: 5571749
    Abstract: A plasma CVD method adapted to a roll-to-roll process or the like wherein the change rate of the temperature of the substrate before and after an i-type semiconductor layer is deposited is made rapid so as to prevent diffusion of impurities occurring due to annealing, by constituting the apparatus structure in such a manner that the deposited film is formed on an elongated substrate by the plasma CVD method while heating the elongated substrate moving in an i-layer forming discharge chamber at a rate of 4.degree. C./second or higher immediately in front of an inlet to the discharge chamber and cooling the same at a rate of 4.degree. C./second or higher immediately at the outlet of the discharge chamber so that a stacked-layer type photovoltaic device having a large area and free from scattering of the characteristics is continuously formed without deterioration of the characteristics occurring due to dopant diffusion.
    Type: Grant
    Filed: December 28, 1994
    Date of Patent: November 5, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Koichi Matsuda, Takaharu Kondo, Yusuke Miyamoto
  • Patent number: 5569350
    Abstract: A mechanism for completely separating a substrate, such as a semiconductor substrate, from at base in such a way that the substrate is in a horizontal position and can be easily removed from an electrostatic chuck. The substrate is mounted on a pedestal which is placed on a base such as an electrode. Pins are mounted within the base beneath a central portion of the substrate. The pins can be moved vertically through the circular pedestal to lift the substrate from the pedestal. A ring is mounted around the pedestal and underneath the outer edge of the substrate. When the pins are protrude beyond the top surface of the circular pedestal the substrate is lifted and caused to tilt. The ring then is lifted to act on the outer edge of the rear surface of the substrate, thus separating the substrate from the circular pedestal and returning the substrate to a horizontal position.
    Type: Grant
    Filed: February 16, 1995
    Date of Patent: October 29, 1996
    Assignee: Anelva Corporation
    Inventors: Tomoaki Osada, Yasuyuki Shirai
  • Patent number: 5567243
    Abstract: A method and apparatus for depositing a film on a substrate by plasma-enhanced chemical vapor deposition at temperatures substantially lower than conventional thermal CVD temperatures comprises placing a substrate within a reaction chamber and exciting a first gas upstream of the substrate to generate activated radicals of the first gas. The substrate is rotated within the deposition chamber to create a pumping action which draws the gas mixture of first gas radicals to the substrate surface. A second gas is supplied proximate the substrate to mix with the activated radicals of the first gas and the mixture produces a surface reaction at the substrate to deposit a film. The pumping action draws the gas mixture down to the substrate surface in a laminar flow to reduce recirculation and radical recombination such that a sufficient amount of radicals are available at the substrate surface to take pan in the surface reaction.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: October 22, 1996
    Assignees: Sony Corporation, Materials Research Corporation
    Inventors: Robert F. Foster, Joseph T. Hillman, Rene E. LeBlanc
  • Patent number: 5565036
    Abstract: The invention provides apparatus and methods for improving systems that expose samples to reactive plasmas, and more particularly for igniting plasma within a process module. The systems are of the type which have an electrode pair and a radiofrequency generator connected to one electrode. Gas is injected between the electrodes where it is ionized and transformed into a plasma. The invention includes (i) ignition means for ionizing gas, e.g., silane, between electrodes which are separated by a small gap of less than approximately one centimeter; and (ii) a radiofrequency energy generator that preferably operates at high frequencies, e.g., 60 MHz, to transform molecules into plasma. Several embodiments of ignition means are taught by the invention, including: an electron source, an ultraviolet source, a second radiofrequency energy generator, and radioactive sources, among others.
    Type: Grant
    Filed: January 19, 1994
    Date of Patent: October 15, 1996
    Assignee: TEL America, Inc.
    Inventors: Han Westendorp, Hans Meiling, John W. Vanderpot, Donald Berrian
  • Patent number: 5565249
    Abstract: A process for gas phase synthesis of diamond using a DC plasma jet where a plasma jet generated by DC arc discharge using a DC plasma torch is made to strike a substrate and grow diamond on the substrate, wherein use is made of a plurality of plasma torch anodes, these are arranged coaxially in a telescoped structure, a magnetic field is applied to these in accordance with need to cause the arc to rotate or the electrode is rotated so as to perform gas phase synthesis of diamond.
    Type: Grant
    Filed: May 7, 1993
    Date of Patent: October 15, 1996
    Assignee: Fujitsu Limited
    Inventors: Kazuaki Kurihara, Kenichi Sasaki, Tsukasa Itani, Motonobu Kawarada
  • Patent number: 5563092
    Abstract: A substrate for use to form an amorphous semiconductor having excellent characteristics, and an amorphous semiconductor substrate comprising a substrate of this kind are disclosed. A method of producing the amorphous semiconductor substrate is also disclosed. An amorphous semiconductor such as a--Si, a--Si alloys, or the like is deposited on a substrate by utilizing an RF plasma having a frequency greater than 50 MHz in an atmosphere whose partial gas pressure associated with a residual gas other than inert gas and hydrogen is less than 10.sup.-8, while applying a bias voltage including a DC component to the substrate during the deposition process. The present invention is characterized in that the substrate has a surface layer having an amorphous structure wherein electric charges may move at least through said surface layer.
    Type: Grant
    Filed: April 22, 1994
    Date of Patent: October 8, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazuaki Ohmi
  • Patent number: 5560778
    Abstract: A deposition rate of a dielectric material is varied with the electrical polarity of an underlying layer to obtain excellent deposition and planarization characteristics. A conductive layer and the underlying dielectric are surface-treated to have different electrical polarities so that the dielectric is formed by using the difference of deposition rates of the dielectric material between that on the conductive layer and that on the underlying dielectric. A CVD apparatus having a DC power source connected between a susceptor and a gas injection portion thereof is provided. The deposition and planarization can be performed at low temperatures and are simplified in process.
    Type: Grant
    Filed: June 5, 1995
    Date of Patent: October 1, 1996
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: In-Seon Park, Myoung-Bum Lee, Chang-Gee Hong, Chang-Gyu Kim, U-In Chung
  • Patent number: 5560777
    Abstract: An apparatus for making a semiconductor at atmospheric pressure having a first electrode and second electrode which are adapted to receive an RF voltage to perform corona discharge, the first electrode and second electrode together forming a corona discharge chamber, a supporter for supporting a substrate below the discharge chamber, a gas supply system for supplying a reactive gas to the discharge chamber, and an exhaust system installed around the substrate supporter and the electrodes and adapted to prevent a substrate supported by the supporter from being contaminated by external air, wherein the gas supply system and gas exhaust system maintain a region above the supporter at atmospheric pressure during a corona discharge operation.
    Type: Grant
    Filed: May 5, 1995
    Date of Patent: October 1, 1996
    Assignee: Goldstar Co., Ltd.
    Inventor: Byung C. Ahn
  • Patent number: 5560779
    Abstract: There is provided a system for the manufacture of a diamond film. A plasma generator generates a hydrogen atom containing plasma stream into which a hydrocarbon containing gas is fed. The plasma dissociates the hydrocarbon to carbon radicals and carbon which are deposited on a substrate where the carbon crystallizes to a diamond film. The efficiency of the system is increased by heating the hydrogen source gas prior to generation of the plasma. Other means to increase the effectiveness of the system include using a plurality of plasma streams and shaping the plasma stream, A low internal strain, high quality optical film is generated by depositing the carbon on a substrate supported by a heat sink having nonuniform thermal conductivity such that the thermal gradient across the surface of the heat sink is less than about 8.degree. C./centimeter.
    Type: Grant
    Filed: July 12, 1993
    Date of Patent: October 1, 1996
    Assignee: Olin Corporation
    Inventors: Steven C. Knowles, Alan E. Kull, George W. Butler, David O. King
  • Patent number: 5558722
    Abstract: A plasma processing apparatus includes a vacuum vessel, a substrate electrode, a discharge coil which is partially or wholly made to have a multiple spiral or helical configuration, a high frequency power source, and a matching circuit that is connected to the discharge coil by way of a conductor wire and connected to the high frequency power source via a connection cable, and generates plasma inside the vacuum vessel by applying a high frequency voltage to the discharge coil so as to process a substrate disposed on the substrate electrode.
    Type: Grant
    Filed: September 14, 1995
    Date of Patent: September 24, 1996
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tomohiro Okumura, Ichiro Nakayama, Yoshihiro Yanagi
  • Patent number: 5558718
    Abstract: A new pulsed plasma-immersion ion-implantation apparatus that implants ions in large irregularly shaped objects to controllable depth without overheating the target, minimizing voltage breakdown, and using a constant electrical bias applied to the target. Instead of pulsing the voltage applied to the target, the plasma source, for example a tungsten filament or a RF antenna, is pulsed. Both electrically conducting and insulating targets can be implanted.
    Type: Grant
    Filed: April 8, 1994
    Date of Patent: September 24, 1996
    Assignee: The Regents, University of California
    Inventor: Ka-Ngo Leung
  • Patent number: 5558717
    Abstract: A process chamber is disclosed which provides a 360.degree. circular gas/vacuum distribution over a substrate being processed. The substrate being processed is supported on a heated and optionally cooled pedestal assembly. The substrate faces a one-piece gas distribution faceplate being connected to an RF power supply outside the vacuum environment of the processing chamber. A pumping channel view port is provided to verify and confirm instrumentation readings concerning the degree of surface deposition on process chamber internal surfaces. All process chamber wall surfaces facing the region where plasma will be present during processing (except the gas distribution faceplate) are ceramic and therefore highly resistant to corrosion. The pedestal an un-anodized metal is also covered with a loosely fitting ceramic surface having alignment features to maintain concentricity between the wafer support surface of the pedestal and the wafer being processed.
    Type: Grant
    Filed: November 30, 1994
    Date of Patent: September 24, 1996
    Assignee: Applied Materials
    Inventors: Jun Zhao, Tom Cho, Charles Dornfest, Stefan Wolff, Kevin Fairbairn, Xin S Guo, Alex Schreiber, John M. White
  • Patent number: 5558719
    Abstract: A plasma processing apparatus has a cathode electrode surrounding a target film formation base set in a deposition apparatus capable of pressure reduction and arranged parallel to the target film formation base. In the plasma processing apparatus, a plasma is generated between the cathode electrode and the target film formation base by applying an RF power having a discharge frequency of 20 MHz to 450 MHz to the cathode electrode. In the plasma processing apparatus, the cathode electrode is constituted by one metal material portion and at least two dielectric portions arranged at positions to sandwich the metal material portion, and a ratio (L.sub.1 /L.sub.2) of a size (L.sub.1) of the metal material portion of the cathode electrode in an axial direction to a size (L.sub.2) of the target film formation base in the axial direction falls within a range of 0.1 to 0.45.
    Type: Grant
    Filed: April 13, 1995
    Date of Patent: September 24, 1996
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinji Tsuchida, Shigenori Ueda, Junichiro Hashizume