Moving Work Support Patents (Class 118/729)
  • Patent number: 7960254
    Abstract: To provide a manufacturing method for an epitaxial wafer that alleviates distortions on a back surface thereof due to sticking between a wafer and a susceptor, thereby preventing decrease in flatness thereof due to a lift pin. A manufacturing method for an epitaxial wafer according to the present invention includes: an oxide film forming step in which an oxide film is formed on a back surface thereof; an etching step in which a hydrophobic portion exposing a back surface of the semiconductor wafer is provided by partially removing the oxide film; a wafer placing step in which the semiconductor wafer is placed; and an epitaxial growth step in which an epitaxial layer is grown on a main surface of the semiconductor wafer; and the diameter of the lift pin installation circle provided on a circle on a bottom face of a susceptor is smaller than that of the hydrophobic portion.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: June 14, 2011
    Assignee: Sumco Corporation
    Inventors: Naoyuki Wada, Makoto Takemura
  • Patent number: 7954627
    Abstract: A bin transporter system is used for moving bins or trays from the exit end of a security checkpoint conveyor to the entrance end of the conveyor. The system includes one or more support stands and a roller assembly attached to the stands. The roller assembly includes an elongate bin guide and roller conveyors attached to the inside of the bin guide. The roller assembly is held in a longitudinally inclined orientation, for gravity-actuated movement of the bin along the bin guide, as facilitated by the roller conveyors. Additionally, when bins are placed in the bin guide against the roller conveyors for movement along the bin guide, the bins lie at a laterally tilted orientation, either generally horizontally or generally vertically. This prevents the bins from falling out the front of the roller assembly, in cases where the bin guide has an open front portion.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: June 7, 2011
    Assignee: BTSystems, LLC
    Inventors: Brian C. Weed, Steven D. Weed, Allan D. Weed
  • Patent number: 7952054
    Abstract: There is disclosed a heating element 10 comprising: at least a heat-resistant base member 1; a conductive layer 3 having a heater pattern 3a formed on the heat-resistant base member; a protection layer 4 with an insulating property formed on the conductive layer; and a corrosion-resistant layer 4p that is an oxide having an oxygen amount of stoichiometric ratio or less formed on the protection layer. There can be provided a heating element in which a corrosion-resistant layer whose resistivity or hardness is controlled is formed on a protection layer and through which the corrosive gas is difficult to be transmitted even under an environment of a high temperature and a corrosive gas and by which degradation due to corrosion of a conductive layer, particularly, a power-supply-terminal portion can be avoided and additionally which can fulfill a high function as an electrostatic chuck even when having a chuck pattern and which has a long operation life and is capable of being produced at a low cost.
    Type: Grant
    Filed: April 10, 2007
    Date of Patent: May 31, 2011
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Noboru Kimura, Yoshihiro Kubota, Waichi Yamamura, Shoji Kano
  • Publication number: 20110124199
    Abstract: Atomic layer deposition apparatus for depositing a film in a continuous fashion. The apparatus includes a process tunnel, extending in a transport direction and bounded by at least a first and a second wall. The walls are mutually parallel and allow a flat substrate to be accommodated there between. The apparatus further includes a transport system for moving a train of substrates or a continuous substrate in tape form, through the tunnel. At least the first wall of the process tunnel is provided with a plurality of gas injection channels that, viewed in the transport direction, are connected successively to a first precursor gas source, a purgegas source, a second precursor gas source and a purge gas source respectively, so as to create a tunnel segment that—in use—comprises successive zones containing a first precursor gas, a purge gas, a second precursor gas and a purge gas, respectively.
    Type: Application
    Filed: May 20, 2009
    Publication date: May 26, 2011
    Inventors: Ernst H. A. Granneman, Sebastiaan E. van Nooten
  • Publication number: 20110111603
    Abstract: A method and apparatus for atomic layer deposition (ALD) is described. The apparatus comprises a deposition chamber and a wafer support. The deposition chamber is divided into two or more deposition regions that are integrally connected one to another. The wafer support is movable between the two or more interconnected deposition regions within the deposition chamber.
    Type: Application
    Filed: November 23, 2010
    Publication date: May 12, 2011
    Inventors: BARRY L. CHIN, ALFRED W. MAK, LAWRENCE CHUNG-LAI LEI, MING XI, HUA CHUNG, KEN KAUNG LAI, JEONG SOO BYUN
  • Publication number: 20110100297
    Abstract: A thin-film solar cell manufacturing apparatus includes a film forming chamber that is evacuated to a reduced pressure and forms a film on a substrate using a CVD method; a loading-ejecting chamber that is connected to the film forming chamber via a first opening-closing part and that is switchable between atmospheric pressure and reduced pressure; transfer rail that is laid at the film forming chamber and the loading-ejecting chamber; a carrier that holds the substrate and moves along the transfer rail; and a carrier transfer mechanism that transfers the carrier, wherein, the carrier transfer mechanism is provided in the loading-ejecting chamber to transfer the carrier between the film forming chamber and the loading-ejecting chamber.
    Type: Application
    Filed: June 3, 2009
    Publication date: May 5, 2011
    Applicant: ULVAC, INC.
    Inventors: Yasuo Shimizu, Hideyuki Ogata, Koichi Matsumoto, Takafumi Noguchi, Jouji Wakamori, Satohiro Okayama, Yawara Morioka, Noriyasu Sugiyama, Takashi Shigeta, Hiroyuki Kurihara
  • Patent number: 7935187
    Abstract: The invention provides a multi-film forming apparatus including a substrate holder stock chamber for storing a plurality of substrate holders separately from a path in the multi-film forming apparatus, so that production can be performed without being affected by the process of removing a film accumulated on the surface of the substrate holder and the process of replacing the substrate holder, or by the process of removing a film accumulated on the surface of the substrate holder or the process of replacing the substrate holder, and hence high-throughput production is possible. A branch path is provided on the path of the multi-film forming apparatus, and a substrate holder stock chamber for storing a plurality of substrate holders which enables retrieval of the substrate holder from the path and feeding of the substrate holder to the path is provided.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: May 3, 2011
    Assignee: Anelva Corporation
    Inventors: Shinji Furukawa, Masahiro Shibamoto
  • Patent number: 7935393
    Abstract: Embodiments of a method and system for improving the consistency of a layer or a plurality of layers with a desired profile in a deposition system are generally described herein. Other embodiments may be described and claimed.
    Type: Grant
    Filed: August 7, 2007
    Date of Patent: May 3, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Mizuno, Takashi Sakuma, Yasushi Mizusawa
  • Publication number: 20110097494
    Abstract: A fluid conveyance system for thin film material deposition includes a fluid distribution manifold and a substrate transport mechanism. The fluid distribution manifold includes an output face that includes a plurality of elongated slots. The output face of the fluid distribution manifold is positioned opposite a first surface of the substrate such that the elongated slots face the first surface of the substrate and are positioned proximate to the first surface of the substrate. The substrate transport mechanism causes a substrate to travel in a direction and includes a flexible mechanism that contacts a second surface of the substrate in a region that is proximate to the output face of the fluid distribution manifold.
    Type: Application
    Filed: October 27, 2009
    Publication date: April 28, 2011
    Inventors: Roger S. Kerr, David H. Levy, James E. Sutton
  • Publication number: 20110097493
    Abstract: A fluid conveyance device for thin film material deposition includes a substrate transport mechanism that causes a substrate to travels in a direction. A fluid distribution manifold includes an output face. The output face includes a plurality of elongated slots. At least one of the elongated slots includes a portion that is non-perpendicular and non-parallel relative to the direction of substrate travel.
    Type: Application
    Filed: October 27, 2009
    Publication date: April 28, 2011
    Inventors: Roger S. Kerr, David H. Levy
  • Publication number: 20110097495
    Abstract: A device is provided. The device includes a nozzle, a source of carrier gas and a source of organic molecules in fluid communication with the nozzle. The device also includes an active cooling system disposed adjacent to the nozzle. Preferably, the device also includes a chamber, wherein the nozzle, and the active cooling system are disposed within the chamber. A substrate holder may also be disposed within the chamber, adapted to support a substrate beneath the nozzle, movable relative to the nozzle. Preferably, a substrate is held by the substrate holder, the substrate disposed at a distance of 0.1 to 10 mm from the active cooling system. Preferably, the device also includes a heating system attached to the nozzle. The points at which the heating system are attached to the nozzle preferably includes at least one point that is zero to 5 mm from the tip of the nozzle.
    Type: Application
    Filed: August 27, 2010
    Publication date: April 28, 2011
    Applicant: Universal Display Corporation
    Inventors: Paul E. Burrows, Siddharth Harikrishna Mohan
  • Patent number: 7927473
    Abstract: A substrate holder for supporting an insulating substrate includes a conductive substrate holder main body having an opening, a first support member formed to protrude inside the opening from the inner periphery of the opening, and including a clamping member which supports one end portion of the insulating substrate, and a second support member including a clamping member which supports the other end portion of the insulating substrate, and is movable so as to protrude inside the opening or retract from inside the opening.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: April 19, 2011
    Assignee: Canon Anelva Corporation
    Inventors: Shinya Houman, Hiroshi Torii
  • Patent number: 7927425
    Abstract: A power-delivery mechanism is provided in the present invention, which utilizes an element with airtight and flexible characteristics coupled to a power-generating unit so as to generate a motion in a specific direction. Besides, an apparatus of plasma -enhanced chemical vapor deposition (PECVD) is also provided in the present invention, which comprises the power-delivery mechanism to load/unload a workpiece onto a stage for processing automatically. Meanwhile, the present invention also provides a height-adjusting unit and a position-indicating unit allowing the operator to adjust the distance between an upper electrode and a lower electrode of the PECVD so that the operator is capable of monitoring and adjusting the distance easily between the upper electrode and the lower electrode outside the chamber of the PECVD.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: April 19, 2011
    Assignee: Industrial Technology Research Institute
    Inventors: Yuan-Yuan Chiang, Kuan-Chou Chen, Fu-Ching Tung
  • Publication number: 20110086167
    Abstract: An apparatus for atomic layer deposition of a material on a moving substrate comprises a conveying arrangement for moving a substrate along a predetermined planar or curved path of travel and a coating bar having at least one precursor delivery channel. The precursor delivery channel conducts a fluid containing a material to be deposited on a substrate toward the path of travel. When in use, a substrate movable along the path of travel defines a gap between the outlet end of the precursor delivery channel and the substrate. The gap defines an impedance Zg to a flow of fluid from the precursor delivery channel. A flow restrictor is disposed within the precursor delivery channel that presents a predetermined impedance Zfc to the flow therethrough. The restrictor is sized such that the impedance Zfc is at least five (5) times, and more preferably at least fifteen (15) times, the impedance Zg. The impedance Zfc has a friction factor f.
    Type: Application
    Filed: December 16, 2010
    Publication date: April 14, 2011
    Applicant: E. I. DU PONT DE NEMOURS AND COMPANY
    Inventors: GEOFFREY NUNES, RICHARD DALE KINARD
  • Patent number: 7922882
    Abstract: In holding a substrate p loaded at a specified position on a holder 30 by clamping a peripheral region of the substrate p against the holder 30 through a plurality of engagement elements 32, it can be avoided that when the substrate p is offset from the specified position and its peripheral region gets on one or some of the engagement elements 32, the substrate p is processed with the peripheral region getting on the engagement element 32. A substrate holding device includes: a plurality of detector sensors 80 for detecting the peripheral region of the substrate p if the substrate p is placed at the specified position on the holder 30; and a determination unit 90 for determining that the substrate p is offset from the specified position when at least one of the detector sensors 80 did not detect the associated part of the substrate p.
    Type: Grant
    Filed: November 17, 2005
    Date of Patent: April 12, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Yasuzou Yoshida
  • Publication number: 20110073042
    Abstract: A substrate centering device for an organic material deposition system comprises: a plurality of substrate support holders configured to be reciprocally movable in a facing direction within an organic material deposition chamber and supporting both side portions of a substrate loaded by a robot; a substrate centering unit configured to be reciprocally movable at each of the substrate support holders and centering the substrate by guiding both side portions of the substrate; and a plurality of substrate clampers configured to be reciprocally movable in a vertical direction at each of the substrate support holders, and clamping the substrate that has been centered by the substrate centering unit.
    Type: Application
    Filed: September 14, 2010
    Publication date: March 31, 2011
    Applicant: Samsung Mobile Display Co., Ltd.
    Inventors: Jae-Mork Park, You-Min Cha, Won-Seok Cho, Jae-Hong Ahn, Min-Jeong Hwang
  • Publication number: 20110056436
    Abstract: The invention relates to a deposition device for comprising a processing space with a substrate support disposed therein, as well as several lift pins (50), which can be moved into and out of the plane of the substrate support to assist in introducing a semiconductor substrate into the processing space and removing it therefrom. The device is characterised in that the contact surface (52) of the lift pin (50) that is to be brought into contact with the semiconductor substrate and/or the substrate support is provided with a material layer (54) which has a lower hardness than the semiconductor substrate and/or the substrate support. This eliminates the risk of damage being caused to the substrate and/or to the substrate support as a result of said substrate shifting undesirably upon being lifted from and lowered onto the substrate support (susceptor). Thus there is no risk of scratches being formed and of particles being released, which might adversely affect the semiconductor manufacturing process.
    Type: Application
    Filed: September 19, 2008
    Publication date: March 10, 2011
    Applicant: XYCARB CERAMICS B.V,
    Inventors: Marcus Gerardus Van Munster, Charles Petronella Marie Buijs, Age Leijenaar
  • Patent number: 7900579
    Abstract: A heat treatment method includes a substrate holder that holds a plurality of substrates at predetermined vertical intervals and is carried into a heat treating furnace for performing a predetermined heat treatment on the substrates. The substrate holder has two holder constituting bodies each having a plurality of columns and substrate holding sections. One of the holder constituting bodies holds the substrates at a first, vertically adjacent distance so that their front surfaces face each other, while the other of the holder constituting bodies holds the substrates at a second, vertically adjacent distance so that their back surfaces face each other wherein the second distance is smaller than the first distance to ensure uniformity of the heat treatment. At least one of the holder constituting bodies moves in the vertical direction to change the positions of the holder constituting bodies relative to each other.
    Type: Grant
    Filed: September 23, 2008
    Date of Patent: March 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Hisashi Inoue, Shunichi Matsumoto, Yasushi Takeuchi
  • Patent number: 7879151
    Abstract: Method and apparatus for supporting and transferring a substrate in a semiconductor wafer processing system are provided. In one aspect, an apparatus is provided for supporting a substrate comprising a cover ring comprising a base having a bore disposed therethough, the base having an upper surface and one or more raised surfaces disposed adjacent the bore, wherein the raised surface comprise one or more first substrate support members disposed adjacent an edge of the bore and a capture ring disposed on the cover ring, the capture ring comprising a semi-circular annular ring having an inner perimeter corresponding to the bore of the cover ring and one or more second substrate support members disposed on the inner perimeter and adapted to receive a substrate, wherein the capture ring is adapted to mate with the cover ring and form one contiguous raised surface on the cover ring.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: February 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Khiem Nguyen, Peter Satitpunwaycha, Alfred W. Mak
  • Publication number: 20110017283
    Abstract: A method deposits a layer of an indium chalcogenide onto a substrate. The method includes the steps of: providing an indium source in a reaction zone, providing a gaseous source of a chalcogen in the reaction zone, and heating the substrate. Thereby in the reaction zone, at a pressure of approximately atmospheric ambient pressure, the indium originating from the indium source and the chalcogen originating from the source of a chalcogen are converted to an indium chalcogenide being deposited onto the surface of the substrate.
    Type: Application
    Filed: July 26, 2010
    Publication date: January 27, 2011
    Applicant: CENTROTHERM PHOTOVOLTAICS AG
    Inventors: ANDREAS KAMPMANN, IMMO KÖTSCHAU, DIETER SCHMID, DAVID WILLIAM SHEEL
  • Patent number: 7862334
    Abstract: A heat treatment apparatus and a heat treatment method using the same are disclosed. In the method, a support plate on which a device substrate is mounted is loaded into the heat treatment apparatus using a transfer unit in an in-line manner, and the device substrate mounted on the support plate is heat-treated using the heat treatment apparatus.
    Type: Grant
    Filed: August 24, 2006
    Date of Patent: January 4, 2011
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Tae-Hoon Yang, Ki-Yong Lee, Jin-Wook Seo, Byoung-Keon Park
  • Publication number: 20100326358
    Abstract: Provided is a batch-type Atomic Layer Deposition (ALD) apparatus for performing ALD processing collectively for a plurality of substrates, leading to an improved throughput, and achieving perfect uniformity of ALD on the substrates. The batch-type ALD apparatus includes: a chamber that can be kept in a vacuum state; a substrate support member, disposed in the chamber, supporting a plurality of substrates to be stacked one onto another with a predetermined pitch; a substrate movement device moving the substrate support member upward or downward; a gas spray device continuously spraying a gas in a direction parallel to the extending direction of each of the substrates stacked in the substrate support member; and a gas discharge device, disposed in an opposite side of the chamber to the gas spray device, sucking and evacuating the gas sprayed from the gas spray device.
    Type: Application
    Filed: February 10, 2009
    Publication date: December 30, 2010
    Inventor: Kyu-Jeong Choi
  • Patent number: 7857939
    Abstract: Provided are an apparatus and method for treating wafers using a supercritical fluid. The wafer treatment apparatus includes a plurality of chambers; a first supply supplying a first fluid in a supercritical state; a second supply supplying a mixture of the first fluid and a second fluid; a plurality of first and second valves; and a controller selecting a first chamber of the plurality of chambers for wafer treatment to control the open/closed state of each of the plurality of first valves so that the first fluid can be supplied only to the first chamber of the plurality of chambers and selecting a second chamber of the plurality of chambers to control the open/closed state of each of the plurality of second valves so that the mixture of the first fluid and a second fluid can be supplied only to the second chamber of the plurality of chambers.
    Type: Grant
    Filed: March 20, 2007
    Date of Patent: December 28, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-ki Hong, Kun-tack Lee, Jeong-nam Han
  • Patent number: 7850780
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material. A system capable of carrying out such a process is also disclosed.
    Type: Grant
    Filed: May 13, 2009
    Date of Patent: December 14, 2010
    Assignee: Eastman Kodak Company
    Inventors: David H. Levy, Roger S. Kerr, Jeffrey T. Carey
  • Patent number: 7845310
    Abstract: An antenna array for a radio frequency plasma process chamber including, an array of electrodes, an array of dielectric tubes concentrically disposed about each electrode tube to define a chamber configured to be at atmospheric pressure between an outer surface of each electrode tube and an inner surface of the corresponding dielectric tube, and a hermetic seal between each dielectric tube and the plasma process chamber configured to allow a vacuum or low pressure in the plasma process chamber.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: December 7, 2010
    Assignee: Axcelis Technologies, Inc.
    Inventors: William F. DiVergilio, Aseem K. Srivastava
  • Patent number: 7837796
    Abstract: A process chamber 1 for PECVD (Plasma Enhanced Chemical Vapor Deposition) coating of a substrate includes an electrode, which is integrated in a contact frame, which is firmly connected to the recipient. A movable carrier in the process chamber carries at least one substrate. The carrier is transported by means of a driven roller positioner into the process chamber or out of the process chamber along a transport route defined by the movement. As soon as the carrier inside the recipient has reached a certain position, the lower roller positioner is uncoupled from carrier by lowering by means of a lifting device. In this regard, the carrier detaches itself from the upper roller positioner. Then, the carrier is accepted by a transfer device (not shown) and brought from the transport position laterally into a treatment position in contact with the contact frame. In this way, reliable contact is produced between the electrode and a counter-electrode provided in carrier.
    Type: Grant
    Filed: February 28, 2007
    Date of Patent: November 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Juergen Henrich, Michael Schaefer, Edgar Haberkorn
  • Patent number: 7837798
    Abstract: An apparatus for manufacturing a semiconductor or liquid crystal is provided with a reaction chamber housing a ceramic holder with an embedded resistive heating element, and a cylindrical support member one end of which supports the ceramic holder and the other end of which side is fixed to the reaction chamber. One end of the cylindrical support member is hermetically bonded to the ceramic holder; and a partition plate and sealing material hermetically seal the other end of which side. Embodiments include partitioning the space within the cylindrical support member with the ceramic holder, and the partition plate and depressurizing to vacuum or to a reduced pressure atmosphere of an inert gas.
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: November 23, 2010
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Akira Kuibira, Masuhiro Natsuhara, Hirohiko Nakata
  • Patent number: 7837799
    Abstract: An arrangement for transporting a flat substrate through a coating installation, wherein the coating installation comprises, e.g., several and different sputter cathodes, to which the flat substrate, for example a glass pane, is transported one after the other in vacuo. So that no abrasion is generated between glass pane and contact, the glass pane is kept spaced apart from the contact by means of gas pressure. The gas pressure is herein built up through relatively few and small holes in a gas channel. Since during flooding of the coating installation to atmospheric pressure or during evacuation, due to the small holes, no fast pressure equalization between gas channel and the remaining coating installation is possible, the gas channel is decoupled in terms of gas from the remaining coating installation and provided with a separate gas line, via which gas can be introduced into the gas channel or pumped out of it.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: November 23, 2010
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Stefan Bangert, Frank Fuchs, Uwe Schuessler, Ralph Lindenberg, Tobias Stolley
  • Patent number: 7837843
    Abstract: This invention relates to a fixture for use in a physical vapor deposition coating operation which comprises a support structure 14 comprising a circular base member 10, a circular top member 11 opposite the circular base member 10, and a plurality of structural members 12 joining said top member 11 to said base member 10; a plurality of panel members 13 aligned in a vertical direction around the outer periphery of said support structure 14 forming a cylinder-like structure; said panel members 13 including a plurality of apertures for holding workpieces 19 and 35 to which a coating is to be applied; and said apertures positioned on said panel members 13 so that said workpieces 19 and 35 are aligned in a staggered vertical direction. This invention also relates to a method for simultaneously coating a plurality of workpieces 19 and 35, such as gas turbine compressor blades and vanes, with erosion resistant coatings using the fixture of this invention.
    Type: Grant
    Filed: June 5, 2006
    Date of Patent: November 23, 2010
    Assignee: Praxair S.T. Technology, Inc.
    Inventors: David Sharp, Albert Feuerstein, Scott Cain
  • Patent number: 7833355
    Abstract: A carbon nanotube (CNT) extrusion system includes a carbon source, an extrusion die having a baseplate having a plurality of die sets, each die set has a plurality of through-holes in fluid communication with the carbon source and a corresponding plurality of template tubes connected at one end to the baseplate and coaxial with the through-holes, each template tube includes a catalyst for forming a CNT structure in combination with the carbon source. An oscillating mechanism operatively associated with the free end of each template tube axially oscillates the template tubes to alternately form and release the CNT structure within each template tube in a continuous manner. The oscillating mechanism can be an alternating electric field or magnetic field applied to the template tubes, the frequency of the electric or magnetic field being synchronized with a formation rate of the CNT within the template tubes.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: November 16, 2010
    Inventor: Peter David Capizzo
  • Publication number: 20100266766
    Abstract: According to embodiments described herein, a guiding device for contactless guiding of a web in a web coating process under vacuum conditions is provided. The guiding device includes a curved surface for facing the web and a group of gas outlets disposed in the curved surface and adapted for giving off a gas flow to form a hover cushion between the curved surface and the web. The guiding device further includes a gas distribution system for selectively providing the gas flow to a first subgroup of the gas outlets and for preventing the gas from flowing to a second subgroup of the gas outlets.
    Type: Application
    Filed: April 21, 2009
    Publication date: October 21, 2010
    Inventors: Stefan Hein, Andre Herzog, Peter Skuk, John D. Busch
  • Patent number: 7810448
    Abstract: The invention relates to an apparatus and a method for the coating of hollow bodies, in particular for the internal coating of plastic drinks bottles by means of a PICVD. It is an object of the invention to ensure a flexible process sequence, a high throughput, an improved supply of fluid and a high-quality coating. The invention in particular proposes a rotary apparatus which comprises a treatment device with double reactors for receiving in each case at least one workpiece, a fluid supply apparatus and at least one fluid control device, which can be used to control the supply of fluid to the treatment device. It is preferable for the vacuum pumps to be arranged on the rotor such that they rotate therewith.
    Type: Grant
    Filed: May 26, 2003
    Date of Patent: October 12, 2010
    Assignee: Schott AG
    Inventors: Stephen Behle, Andreas Lüttringhaus-Henkel, Gregor Arnold, Matthias Bicker, Jürgen Klein
  • Publication number: 20100247747
    Abstract: A first evaporation source is disposed such that one predetermined film deposition material is deposited on one region of a substrate; a second evaporation source is disposed such that another predetermined film deposition material is deposited on another region of the substrate; and the substrate is spun such that different materials are contained at a predetermined proportion on a film-deposition surface of the substrate. By disposing the plurality of evaporation sources at different positions, a thin film in which a plurality of materials are mixed, a thin film in which a plurality of materials are arranged in a grid pattern, or a thin film in which a plurality of monomolecular layers are stacked in a film thickness direction (the state can also be substantially called a super multi-monomolecular-layers) can be formed.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Inventor: Shunpei Yamazaki
  • Publication number: 20100244307
    Abstract: The present invention provides apparatus and methods for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom. In some embodiments, an interior-flow substrate includes a porous surface and one or more interior passages that provide reactant gas to an interior portion of a densely packed nanotube forest as it is growing. In some embodiments, a continuous-growth furnace is provided that includes an access port for removing nanotube forests without cooling the furnace substantially. In other embodiments, a nanotube film can be pulled from the nanotube forest without removing the forest from the furnace. A nanotube film loom is described. An apparatus for building layers of nanotube films on a continuous web is described.
    Type: Application
    Filed: June 4, 2010
    Publication date: September 30, 2010
    Inventors: Alexander B. Lemaire, Charles A. Lemaire, Leif T. Stordal, Dale J. Thomforde
  • Patent number: 7794546
    Abstract: A method, computer readable medium, and system for treating a substrate in a process space of a processing system that is vacuum isolated from a transfer space of the processing system is described. A sealing device is disposed between a first chamber assembly configured to define the process space and a second chamber assembly configured to define the transfer space. When the sealing device is engaged, vacuum isolation is provided between the process space and the transfer space. The sealing device comprises two or more contact ridges with one or more pockets formed therebetween. When the sealing device is engaged between the first chamber assembly and the second chamber assembly, gas is trapped in the one or more pockets. This trapped gas assists the release of the sealing device upon disengagement of the sealing device between the first chamber assembly and the second chamber assembly.
    Type: Grant
    Filed: March 8, 2006
    Date of Patent: September 14, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Yicheng Li
  • Patent number: 7789963
    Abstract: An apparatus for processing semiconductors includes a processing chamber including a plurality of chamber walls, a substrate holder, positioned within the processing chamber and configured to support the substrate, and a linear displacement device, coupled between a base wall of the plurality of walls and the substrate holder and configured to move the substrate holder relative to the base wall. A shielding part extending from the substrate holder to be in close parallel relation with at least one of the plurality of walls such that a first area of the processing chamber is substantially shielded from a processing environment to which the substrate is exposed.
    Type: Grant
    Filed: February 25, 2005
    Date of Patent: September 7, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Andrej S. Mitrovic, Steven T. Fink
  • Patent number: 7790004
    Abstract: The invention relates to a partially disposable substrate holder used in magnetic latches for securing substrates on a planetary rotating platform suspended above a coating source in a vacuum chamber of a vapor deposition system, e.g. a chemical vapor deposition (CVD) system or a physical vapor deposition (PVD) system. The substrate holder includes a reusable base formed, at least partially, from a ferro-magnetic material, which is attracted to the magnetic latch, and a disposable cover formed from a relatively inexpensive, ferromagnetic, easily formable material, which encourages adherence of coating material and has a low vapor pressure at coating temperatures.
    Type: Grant
    Filed: August 17, 2005
    Date of Patent: September 7, 2010
    Assignee: JDS Uniphase Corporation
    Inventor: Richard I. Seddon
  • Patent number: 7789961
    Abstract: A process for depositing a thin film material on a substrate is disclosed, comprising simultaneously directing a series of gas flows from the output face of a delivery head of a thin film deposition system toward the surface of a substrate, and wherein the series of gas flows comprises at least a first reactive gaseous material, an inert purge gas, and a second reactive gaseous material, wherein the first reactive gaseous material is capable of reacting with a substrate surface treated with the second reactive gaseous material. A system capable of carrying out such a process is also disclosed.
    Type: Grant
    Filed: January 8, 2007
    Date of Patent: September 7, 2010
    Assignee: Eastman Kodak Company
    Inventors: Shelby F. Nelson, David H. Levy, Roger S. Kerr
  • Patent number: 7785456
    Abstract: The invention relates to a magnetic latch for securing substrates on a planetary rotating platform suspended above a coating source in a vacuum chamber of a vapor deposition system, e.g. a chemical vapor deposition (CVD) system or a physical vapor deposition (PVD) system. The magnetic latch includes a permanent magnetic, which is moveable between a latching position, in which the permanent magnet magnetizes the latch for attracting a substrate holder, and an unlatching position, in which the permanent magnet is connected in a bypass circuit, thereby demagnetizing the latch for releasing the substrate holder.
    Type: Grant
    Filed: October 19, 2004
    Date of Patent: August 31, 2010
    Assignee: JDS Uniphase Corporation
    Inventors: Richard I. Seddon, Markus K. Tilsch, Jeremy Hayes
  • Publication number: 20100212596
    Abstract: Provided is a weight plate of a vacuum evaporation apparatus, and a vacuum evaporation apparatus, which may prevent occurrence of displacement due to an impact or the like during a conveyance by a convey mechanism after a shadow mask and a glass substrate are superposed and aligned. The glass substrate is superposed and aligned on a top surface of a tension mask as the shadow mask fixed to a mask holding member. Then, the weight plate is placed on the glass substrate and presses the glass substrate by gravity to form a combined conveyance body. A liquid metal is filled in a hollow part of the weight plate at a filling factor of from more than 25% to less than 85% or other shock absorbing mechanism is provided for absorbing an impact applied to the combined conveyance body.
    Type: Application
    Filed: February 18, 2010
    Publication date: August 26, 2010
    Applicants: CANON KABUSHIKI KAISHA, HITACHI DISPLAYS, LTD.
    Inventors: Masataka Eida, Takehiko Soda, Kazushi Miyata
  • Publication number: 20100206235
    Abstract: Embodiments of the invention generally relate to apparatuses for chemical vapor deposition (CVD) processes. In one embodiment, a wafer carrier track for levitating and traversing a wafer carrier within a vapor deposition reactor system is provided which includes upper and lower sections of a track assembly having a gas cavity formed therebetween. A guide path extends along an upper surface of the upper section and between two side surfaces which extend along and above the guide path and parallel to each other. A plurality of gas holes along the guide path extends from the upper surface of the upper section, through the upper section, and into the gas cavity. In some examples, the upper and lower sections of the track assembly may independently contain quartz, and in some examples, may be fused together.
    Type: Application
    Filed: March 16, 2010
    Publication date: August 19, 2010
    Applicant: ALTA DEVICES, INC.
    Inventors: Gang He, Gregg Higashi, Khurshed Sorabji, Roger Hamamjy, Andreas Hegedus
  • Patent number: 7771563
    Abstract: A system and method for processing substrates that achieves isothermal and uniform fluid flow processing conditions for a plurality of substrates. In one aspect, the invention is a system and method that utilizes matching the emissivity value of the surfaces of a process chamber that oppose exposed surfaces of the substrates with the emissivity value of the exposed surfaces to achieve isothermal conditions throughout a substrate stack. In another aspect, the invention is system and method of processing substrates in a process chamber that exhibits excellent fluid flow uniformity by eliminating cavities or geometrical irregularities in the process chamber profile due to substrate loading openings. In yet anther aspect, the invention is a system and method of processing substrates wherein the process chamber comprises a liner and a shell, the liner constructed of a highly thermally conductive material, such as carbon, and the shell is constructed of a non-porous material, such as stainless steel.
    Type: Grant
    Filed: November 18, 2004
    Date of Patent: August 10, 2010
    Assignee: Sumitomo Precision Products Co., Ltd.
    Inventors: Robert W. Grant, Benjamin J. Petrone, Paul D. Mumbauer
  • Patent number: 7767596
    Abstract: A wafer support pin has a front end contacted with a wafer such that the front end is flat or rounded. Thus, gravitational stress is minimized during annealing the wafer, thereby minimizing slip dislocation. This wafer support pin is suitably used for annealing of a wafer, particularly high temperature rapid thermal annealing of a large-diameter wafer.
    Type: Grant
    Filed: December 26, 2007
    Date of Patent: August 3, 2010
    Assignee: Siltron, Inc.
    Inventors: Kun Kim, Jin-Kyun Hong, Woo-Hyun Seo, Kyoung-Hwan Song
  • Publication number: 20100184249
    Abstract: A continuous deposition process and apparatus for depositing semiconductor layers containing cadmium, tellurium or sulfur as a principal constituent on transparent substrates to form photovoltaic devices as the substrates are continuously conveyed through the deposition apparatus is described. The film deposition process for a photovoltaic device having an n-type window layer and three p-type absorber layers in contiguous contact is carried out by a modular continuous deposition apparatus which has a plurality of processing stations connected in series for depositing successive layers of semiconductor films onto continuously conveying substrates. The fabrication starts by providing an optically transparent substrate coated with a transparent conductive oxide layer, onto which an n-type window layer formed of CdS or CdZnS is sputter deposited. After the window layer is deposited, a first absorber layer is deposited thereon by sputter deposition.
    Type: Application
    Filed: March 28, 2009
    Publication date: July 22, 2010
    Inventor: Yung-Tin Chen
  • Patent number: 7757625
    Abstract: It is an object to provide a method for forming a thin film which can be uniformly and precisely planarized without a high-loaded process as in a chemical mechanical polishing method and to provide a device used for the method. In a method for forming a thin film on a surface of a-semiconductor wafer as a substrate to be processed by supplying a coating solution to the wafer having asperities on the surface thereof, a thin film of a coating solution is planarized by placing the wafer having the thin film formed on the surface thereof in a solvent gas atmosphere generated in a treatment chamber, then spraying a solvent gas toward the surface of the wafer from a solvent-gas-supplying nozzle and, simultaneously, relatively moving the wafer and/or the solvent-gas-supplying nozzle in directions parallel to each other.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: July 20, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Shouichi Terada, Tsuyoshi Mizuno, Takeshi Uehara
  • Publication number: 20100173432
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a movable susceptor, a movement element, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable susceptor is configured to hold a workpiece. The movable element is configured to move a workpiece held on the susceptor towards the opening of the baseplate. The control system is configured to space the susceptor from the baseplate by an unsealed gap during processing of a workpiece in the reaction chamber. Purge gases may flow through the gap into the reaction chamber. Methods of maintaining the gap during processing include calibrating the height of pads and capacitance measurements when the susceptor is spaced from the baseplate.
    Type: Application
    Filed: January 8, 2009
    Publication date: July 8, 2010
    Applicant: ASM America, Inc.
    Inventors: Carl L. White, Eric Shero, Joe Reed
  • Patent number: 7749326
    Abstract: Provided is a chemical vapor deposition apparatus including a reaction chamber; a susceptor that is provided in the reaction chamber and has a plurality of wafers mounted thereon; a rotation driving unit that rotates the susceptor; a gas inlet that is provided in the reaction chamber and introduces reaction gas into the reaction chamber from the outside of the reaction chamber; a gas outlet that is provided in the reaction chamber and discharges the reaction gas, of which the reaction is finished, from the inside of the reaction chamber along the rotation-axis direction of the susceptor; and a variable gas-flow adjusting unit that is provided between the gas inlet and the gas outlet and is formed by superimposing a plurality of gas jetting plates having a plurality of holes.
    Type: Grant
    Filed: July 21, 2008
    Date of Patent: July 6, 2010
    Assignee: Samsung LED Co., Ltd.
    Inventors: Chang Sung Sean Kim, Jong Pa Hong, Joong El Ghim
  • Patent number: 7745762
    Abstract: An approach for optimizing the thermal budget during a pulsed heating process is disclosed. A heat sink or thermal transfer plate is configured and positioned near an object, such as a semiconductor wafer, undergoing thermal treatment. The heat sink is configured to enhance the thermal transfer rate from the object so that the object is rapidly brought down from the peak temperature after an energy pulse. High thermally-conductive material may be positioned between the plate and the object. The plate may include protrusions, ribs, holes, recesses, and other discontinuities to enhance heat transfer and avoid physical damage to the object during the thermal cycle. Additionally, the optical properties of the plate may be selected to allow for temperature measurements via energy measurements from the plate, or to provide for a different thermal response to the energy pulse. The plate may also allow for pre-heating or active cooling of the wafer.
    Type: Grant
    Filed: May 30, 2006
    Date of Patent: June 29, 2010
    Assignee: Mattson Technology, Inc.
    Inventor: Paul Janis Timans
  • Patent number: 7744793
    Abstract: The present invention provides apparatus and methods for growing fullerene nanotube forests, and forming nanotube films, threads and composite structures therefrom. In some embodiments, an interior-flow substrate includes a porous surface and one or more interior passages that provide reactant gas to an interior portion of a densely packed nanotube forest as it is growing. In some embodiments, a continuous-growth furnace is provided that includes an access port for removing nanotube forests without cooling the furnace substantially. In other embodiments, a nanotube film can be pulled from the nanotube forest without removing the forest from the furnace. A nanotube film loom is described. An apparatus for building layers of nanotube films on a continuous web is described.
    Type: Grant
    Filed: September 6, 2005
    Date of Patent: June 29, 2010
    Inventors: Alexander B. Lemaire, Charles A. Lemaire, Leif T. Stordal, Dale J. Thomforde
  • Patent number: 7732019
    Abstract: A solution spray apparatus includes a nozzle which sprays a droplet of a solution such as EL solution, a heater is provided on the nozzle to heat the solution in the nozzle to a temperature lower than a boiling point of the solution in the nozzle, so that the droplet of the heated solution is ejected from the spray to an object.
    Type: Grant
    Filed: January 14, 2008
    Date of Patent: June 8, 2010
    Assignee: Casio Computer Co., Ltd.
    Inventors: Satoru Shimoda, Tomoyuki Shirasaki