Using Sequentially Applied Treating Agents Patents (Class 134/26)
  • Patent number: 8647445
    Abstract: Cleaning processes for cleaning semiconductor devices or semiconductor tooling during manufacture thereof generally include contacting the semiconductor devices or semiconductor tooling with an antioxidant to form an insoluble adduct followed by solubilizing the adduct with a basic aqueous cleaning solution.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: February 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: Vishal Chhabra, John A. Fitzsimmons, Mahmoud Khojasteh, Jennifer Muncy
  • Patent number: 8647446
    Abstract: A method and system for cleaning a substrate in a multi-module cleaning assembly is provided. The method begins by receiving the substrate into the cleaning module. A cleaning chemistry, at a temperature elevated from an ambient temperature, is applied onto a top surface of the substrate. Concurrent with application of the cleaning chemistry, vapors are exhausted from the cleaning chemistry through a port located below a bottom surface of the substrate with the vapor exhaustion providing a negative pressure relative to a pressure external to the cleaning module. The application of the cleaning chemistry is terminated, followed by termination of the exhausting of the vapors. The substrate is dried after the flowing of inert gas is terminated.
    Type: Grant
    Filed: December 7, 2011
    Date of Patent: February 11, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Satbir Kahlon, Frank Ma
  • Patent number: 8647440
    Abstract: Disclosed is a substrate treatment method intended for a substrate having, on its surface, a composite product of an inorganic material containing silicon oxide and an organic material containing carbon and fluorine. The method comprises: an ultraviolet ray treatment step for irradiating the surface of the substrate with ultraviolet ray to remove a part of the organic material; a hydrogen fluoride processing step which is conducted after the ultraviolet ray processing step and which is for supplying a steam of hydrogen fluoride onto the surface of the substrate to remove at least a part of the inorganic material; and a heating processing step which is conducted after the ultraviolet ray processing step and which is for heating the substrate to cause the shrinkage of a part of the organic material that remains unremoved.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: February 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kawamura, Teruyuki Hayashi
  • Patent number: 8647444
    Abstract: A method of washing ware in an automatic ware washing machine, is disclosed, using a rinse aid composition containing a polysaccharide. The polysaccharide adsorbs on the ware resulting in a sheeting action of the rinse solution leading to improved drying of the ware.
    Type: Grant
    Filed: September 4, 2012
    Date of Patent: February 11, 2014
    Inventors: Antonius Maria Neplenbroek, Fabien Bruno Dusart, Amandine Aurelie Marie Houche, Diederick Hendricus Van Drunen
  • Publication number: 20140034089
    Abstract: A medical surface cleaning composition and a method for cleaning waste treatment system components, medical instruments surfaces, and enzyme residue-containing surfaces. The composition includes a residue cleaning agent and a substantially non-water soluble nonionic surfactant having an initial Ross-Miles foam height in an aqueous solution at 25° C. of less than 10 millimeters. A weight ratio of residue cleaning agent to surfactant on 100 wt. % active ingredient basis ranges from about 0.05:1 to about 0.5:1, and wherein the residue cleaning agent and surfactant are biodegradable.
    Type: Application
    Filed: October 10, 2013
    Publication date: February 6, 2014
    Applicant: INNOVATION SERVICES, INC.
    Inventor: Jeffrey G. Hubrig
  • Patent number: 8641829
    Abstract: Disclosed is a substrate processing system, including: a processing chamber to process a substrate; a vaporizing unit to vaporize a material of liquid; a supply system to supply the processing chamber with gas of the material vaporized by the vaporizing unit; an exhaust system to exhaust an atmosphere in the processing chamber; and a cleaning liquid supply system to supply the vaporizing unit with cleaning liquid for cleaning a product deposited in the vaporizing unit, wherein the cleaning liquid supply system supplies at least two kinds of cleaning liquids into the vaporizing unit so that the product can be removed from the vaporizing unit by action of the two kinds of cleaning liquids on the product.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: February 4, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomoki Horita, Kazuhiro Hirahara, Hironobu Miya, Atsuhiko Suda, Hirohisa Yamazaki
  • Patent number: 8640717
    Abstract: Embodiments of this device or method repeatedly apply droplets of two or more liquids by means of nozzles of fixed relative direction in an alternate or sequential manner to a target location on a surface for removing material from the surface, adding material to the surface, or using the surface to biphasically catalyze a reaction of components of the liquids. The droplets have essentially no contact with one another before reaching the surface (FIG. 12A thru 13H). The effect of the droplets on the target surface can be modified by a continuous or interrupted flow of air or other gas to the target surface (FIG. 27A thru 29H), or by application of radiations such as sonic or ultrasonic radiation, or various frequencies of electromagnetic radiation, to the target surface, or some combination of these. Means may be included for adjusting the temperature of the liquids and gasses.
    Type: Grant
    Filed: April 12, 2010
    Date of Patent: February 4, 2014
    Inventor: Thomas Robert McCarthy
  • Patent number: 8641828
    Abstract: A cleaning method of a semiconductor manufacturing process is provided. The cleaning method is applied to a semiconductor component including a plurality of material layers formed thereon. An opening is defined in the material layers, and a side wall is exposed from the opening. The side wall at least includes a first material layer and a second material layer. At first, a first cleaning process is performed till a lateral etched thickness of the first material layer is equal to a lateral etched thickness of the second material layer. Then, a byproduct formed in the first cleaning process is removed.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: February 4, 2014
    Assignee: United Microelectronics Corp.
    Inventors: Yi-Wei Chen, Teng-Chun Tsai, Kuo-Chih Lai, Shu-Min Huang
  • Publication number: 20140020719
    Abstract: A soiled surface cleaning system is described for pre spraying soiled surface areas, and for rinsing and extracting the pre sprayed soiled surface areas, wherein the pre spraying of the soiled surface areas does not have to be stopped in order to perform the rinsing and extracting of the pre sprayed soiled surface areas.
    Type: Application
    Filed: July 15, 2013
    Publication date: January 23, 2014
    Inventor: Edward Michael Kubasiewicz
  • Patent number: 8632638
    Abstract: Disclosed is a method for cleaning deposits from one or more parts of an engine fuel delivery system, the method comprising introducing into the engine fuel delivery system a cleaning composition comprising (a) one or more aromatic hydrocarbon solvents; and (b) one or more propylene glycol ethers.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: January 21, 2014
    Assignee: Chevron Oronite Company LLC
    Inventors: Felicia Simpson-Green, Damon Vaudrin
  • Publication number: 20140014137
    Abstract: The invention relates to methods and compositions for treating non-trans fats with a souring composition that acts as both a souring agent and a chelating agent. The invention also relates to methods for reducing the frequency of laundry fires with acidic GLDA.
    Type: Application
    Filed: December 17, 2012
    Publication date: January 16, 2014
    Applicant: Ecolab USA Inc.
    Inventors: Victor F. Man, Yvonne M. Killeen
  • Patent number: 8628625
    Abstract: A method that uses an L-?-dipalmitoleoyl-phosphatidylcholine (DPPC) surfactant based device that reacts with a substance in a known manner, to detect a substance of interest or to extract a substance of interest from a material is provided. The principles of the present invention are particularly useful in detecting/measuring a substance that is harmful to a human, and also to extracting NACL from saltwater.
    Type: Grant
    Filed: October 1, 2007
    Date of Patent: January 14, 2014
    Inventor: Mark L. Witten
  • Publication number: 20140000659
    Abstract: Provided are a substrate processing apparatus and method. The substrate processing apparatus includes a substrate support member on which a substrate is placed and a movable spray member supplying a fluid onto the substrate placed on the substrate support member. The movable spray member includes a first nozzle arm rotating to spray at least one fluid and a second nozzle arm disposed on the first nozzle arm to spray at least one fluid.
    Type: Application
    Filed: June 27, 2013
    Publication date: January 2, 2014
    Inventor: TaekYoub LEE
  • Patent number: 8617409
    Abstract: A protective chuck is magnetically levitated on a substrate with a gas layer between the bottom surface of the protective chuck and the substrate surface. The gas layer protects a surface region of the substrate against a fluid layer covering the remaining of the substrate surface without contacting the substrate, reducing or eliminating potential damage to the substrate surface. The magnetically levitated protective chuck can enable combinatorial processing of a substrate, providing multiple isolated processing regions on a single substrate with different material and processing conditions.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: December 31, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Rajesh Kelekar, Kent Riley Child
  • Patent number: 8617656
    Abstract: A liquid processing apparatus processes an object to be processed W including a body part Wi and a plurality of projecting-shape parts Wm disposed on the body part Wi, with an inorganic film and a different film being laminated to each other. The liquid processing apparatus comprises: a support part 50 configured to support the body part Wi; a hydrophobic-liquid supply mechanism 30 configured to supply a hydrophobic liquid to the object to be processed W; and a rinse-liquid supply part 22 configured to supply a rinse liquid to the object to be processed W to which the hydrophobic liquid has been supplied. The hydrophobic-liquid supply mechanism 30 includes: a first hydrophobic-liquid supply part 32 configured to supply a first hydrophobic liquid for making hydrophobic the inorganic film; and a second hydrophobic-liquid supply part 37 configured to supply a second hydrophobic liquid for making hydrophobic the different film.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: December 31, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Mitsunori Nakamori, Akira Fujita, Takayuki Toshima
  • Publication number: 20130340795
    Abstract: Disclosed is a two station system for a flow cytometer that includes a sample station and a wash station. During washing, the user has access to the sample station to insert a new sample. This increases the efficiency of the workflow process. Rotary clamps are used to automatically clamp the sample station and wash station to the system. A low volume pressurized cavity is used to bring the pressure of the sample to a desired pressure, which further increases productivity of the system. A transparent body is provided in the sample station so a user can view the sample during the sampling process. A backwash process is used to clean the sample injection tube and the sample uptake tube. In addition, the wash station is designed to rinse the outer surface of the sample uptake tube.
    Type: Application
    Filed: June 20, 2013
    Publication date: December 26, 2013
    Applicant: Bio-Rad Laboratories, Inc.
    Inventors: Nathan Michael Gaskill-Fox, Daniel N. Fox, Rodney C. Harris
  • Patent number: 8613287
    Abstract: An apparatus for preventing stiction of a three-dimensional MEMS (microelectromechanical system) microstructure, the apparatus including: a substrate; and a plurality of micro projections formed on a top surface of the substrate with a predetermined height in such a way that a cleaning solution flowing out from the microstructure disposed thereabove is discharged.
    Type: Grant
    Filed: April 14, 2008
    Date of Patent: December 24, 2013
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Chang Han Je, Myung Lae Lee, Sung Hae Jung, Gunn Hwang, Chang Auck Choi
  • Publication number: 20130333730
    Abstract: A medical instrument cleaning concentrate and method for cleaning medical equipment. The method includes contacting the equipment with a cleaning concentrate dissolved in water. The cleaning concentrate contains (i) a biofilm permeation agent, (ii) a nonionic alkoxylated alcohol surfactant having an HLB ranging from about 5 to less than 8, wherein a weight ratio of (i) to (ii) in the cleaning concentrate based on 100 wt. % active ingredients ranges from about 0.5:1 to about 1.5:1, and (iii) and an inert diluents. After contacting the equipment with the cleaning concentrate dissolved in water, the contacted surfaces are rinsed to substantially remove detectible traces of the ingredients of the cleaning concentrate from the surfaces.
    Type: Application
    Filed: August 16, 2013
    Publication date: December 19, 2013
    Applicant: Innovation Services, Inc.
    Inventors: Joseph B. Dooley, Jeffrey G. Hubrig
  • Patent number: 8608861
    Abstract: The invention relates to a method of decontaminating an oxide layer-comprising surface of a component or a system of a nuclear facility, wherein the oxide layer is treated with gaseous nitrogen oxide (NOx) as oxidizing agent.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: December 17, 2013
    Assignee: Areva NP GmbH
    Inventors: Horst-Otto Bertholdt, Terezinha Claudete Maciel, Franz Strohmer
  • Patent number: 8608864
    Abstract: A substrate treating method for treating substrates with a treating liquid includes a deionized water cleaning step for supplying deionized water from an injection pipe and cleaning the substrates inside a cleaning tank with deionized water, then a replacing step for injecting a solvent from a solvent injector and replacing the deionized water with the solvent, a separating and removing step for switching a channel to a branch pipe and causing a separator to remove the deionized water from the treating liquid, and an adsorbing and removing step for switching the channel to another branch pipe and causing a deionized water remover to adsorb and remove the deionized water from the treating liquid.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: December 17, 2013
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Masahiro Kimura, Hiroaki Takahashi, Tadashi Maegawa, Toyohide Hayashi
  • Publication number: 20130327363
    Abstract: A substrate processing apparatus is provided including: a liquid processing unit that processes a substrate with a processing liquid; a carry-in port formed in the liquid processing unit and configured to carry-in the substrate in a dry-state before the substrate is processed with the processing liquid; a carry-out port formed in the liquid processing unit and configured to carry-out the substrate in a wet-state after completing the liquid processing; a supercritical dry processing unit that performs a dry processing for the substrate using a supercritical fluid; a first substrate transport unit that transports the substrate in a dry-state before the substrate is processed with the processing liquid to the carry-out port of the liquid processing unit; and a second substrate transport unit that transports the substrate in a wet-state after completing the liquid processing from the carry-out port of the liquid processing unit to the supercritical dry processing unit.
    Type: Application
    Filed: May 31, 2013
    Publication date: December 12, 2013
    Inventor: Hiroaki Inadomi
  • Publication number: 20130327364
    Abstract: A process for washing a substrate. The process involves providing a detergent composition that includes a surfactant and an antifoam. The antifoam comprises a hydrophobic fluid having a surface tension of at least 27 mN/m and less than 40 mN/m and a finely divided solid hydrophobic filler dispersed in the hydrophobic fluid. The process further involves washing the substrate in an aqueous medium with the detergent composition. The antifoam does not affect foaming during the washing step. During the washing step, the detergent composition is applied to the substrate. The process further involves rinsing the substrate with the applied detergent composition with water, whereby foaming during the rinsing step is inhibited.
    Type: Application
    Filed: February 16, 2012
    Publication date: December 12, 2013
    Applicant: DOW CORNING CORPORATION
    Inventors: Pascal Delbrassinne, Laurence Gallez, Mathilde Guette, Jean-Paul Lecomte
  • Patent number: 8601639
    Abstract: An apparatus is provided that includes a substrate support assembly for holding the semiconductor substrate and a dispense head for applying a cleaning material to clean the contaminants from the substrate surface. The dispense head extends across a length of the semiconductor substrate and is positioned proximate to the substrate surface at a distance of between about 0.1 mm and about 4.5 mm. The proximate position enables application of a force to the cleaning material as it is applied to the substrate surface as a film, and the cleaning material provided through the dispense head contains a cleaning liquid, a plurality of solid components, and polymers of a polymeric compound, each of the plurality of solid components and polymers being greater than zero and less than 3% of the cleaning material, the plurality of solid components and the polymers are dispersed for application through the dispense head.
    Type: Grant
    Filed: January 16, 2012
    Date of Patent: December 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Ji Zhu, Arjun Mendiratta, David Mui
  • Publication number: 20130319472
    Abstract: A device and method for treating the surface of a semiconductor wafer provides a treatment fluid in the form of a dispersion of gas bubbles in a treatment liquid generated at acoustic pressures less than those required to induce cavitation in the treatment liquid. A resonator supplies ultrasonic or megasonic energy to the treatment fluid and is configured to create an interference pattern in the treatment fluid comprising regions of pressure amplitude minima and maxima at an interface of the treatment fluid and the semiconductor wafer. The resonator is mounted in the space between the rotary chuck body and a wafer carried in rotation with the chuck body; however, the resonator itself is stationary in relation to rotation of the wafer and chuck body.
    Type: Application
    Filed: June 4, 2012
    Publication date: December 5, 2013
    Applicant: LAM RESEARCH AG
    Inventors: Dieter FRANK, Alexander LIPPERT, Andreas GLEISSNER
  • Patent number: 8591663
    Abstract: A method for removing corrosion products from a system, the method including: adjusting the system temperature to between 115° F. to 212° F.; injecting a cleaning dissolution solvent into the system; injecting a gas into the system after the system is filled with the cleaning dissolution solvent; the gas mixing with the solvent in the system; draining the solvent from the system after a predetermined period of time of dissolution; injecting a passivation composition into the system; injecting a gas into the system, the gas mixing the passivation composition; draining the system of the composition after a predetermined period of time of passivation; rinsing the system with a low volume solution; and rinsing the system at with a full volume solution.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: November 26, 2013
    Assignee: Areva NP Inc
    Inventors: John Remark, Sidney Jones, Ray Beatty, Sarah Evans
  • Patent number: 8591752
    Abstract: A method for plasma-etching a magnetic film and plasma-cleaning, in which deposits in an etching processing chamber are efficiently removed while corrosion of a wafer is suppressed, is provided. A plasma processing method for plasma-etching a to-be-processed substrate having a magnetic film in an etching processing chamber includes the steps of plasma-etching the magnetic film using a first gas not containing chlorine, transferring out the to-be-processed substrate from the etching processing chamber, first plasma-cleaning of the etching processing chamber using a second gas containing chlorine, and second plasma-cleaning using a third gas containing hydrogen after the first plasma cleaning.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: November 26, 2013
    Assignee: Hitachi High Technologies Corporation
    Inventors: Takahiro Abe, Takeshi Shimada, Atsushi Yoshida, Kentaro Yamada, Daisuke Fujita
  • Patent number: 8591661
    Abstract: Improved methods for stripping photoresist and removing etch-related residues from dielectric materials are provided. In one aspect of the invention, methods involve removing material from a dielectric layer using a hydrogen-based etch process employing a weak oxidizing agent and fluorine-containing compound. Substrate temperature is maintained at a level of about 160° C. or less, e.g., less than about 90° C.
    Type: Grant
    Filed: December 11, 2009
    Date of Patent: November 26, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: David Cheung, Ted Li, Anirban Guha, Kirk Ostrowski
  • Patent number: 8591659
    Abstract: Improved methods and apparatuses for removing residue from the interior surfaces of the deposition reactor are provided. The methods involve increasing availability of cleaning reagent radicals inside the deposition chamber by generating cleaning reagent radicals in a remote plasma generator and then further delivering in-situ plasma energy while the cleaning reagent mixture is introduced into the deposition chamber. Certain embodiments involve a multi-stage process including a stage in which the cleaning reagent mixture is introduced at a high pressure (e.g., about 0.6 Torr or more) and a stage the cleaning reagent mixture is introduced at a low pressure (e.g., about 0.6 Torr or less).
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: November 26, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Zhiyuan Fang, Pramod Subramonium, Jon Henri, Keith Fox
  • Patent number: 8591662
    Abstract: A method for cleaning a substrate is provided. The method initiates with applying an activation solution to a surface of the substrate. The activation solution and the surface of the substrate are contacted with a surface of a solid cleaning surface. The activation solution is absorbed into a portion of the solid cleaning element and then the substrate or the solid cleaning surface is moved relative to each other to clean the surface of the substrate. A method for cleaning the surface of the substrate with a solid cleaning element that experiences plastic deformation is also provided. Corresponding cleaning apparatuses are also provided.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventors: Erik M. Freer, John deLarios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Patent number: 8591665
    Abstract: Methods, systems, and computer programs are presented for processing a substrate in a processing chamber which includes a first chamber and a second chamber. A first surface of the substrate is exposed to the first chamber and a second surface of the substrate is exposed to the second chamber. One method includes an operation for applying a first fluid to the first surface of the substrate, where the first fluid is at a first temperature. Further, the method includes another operation for applying a second fluid to the second surface of the substrate, where the second fluid is at a second temperature. During processing of the substrate, the second temperature is higher than the first temperature, and the second fluid heats the substrate.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventors: Ben Mooring, John Parks, Diane J. Hymes
  • Publication number: 20130306110
    Abstract: A collector mirror of an extreme ultraviolet light source is cleaned by removing the collector mirror from a chamber of the extreme ultraviolet light source; mounting the collector mirror to a carrier; inserting the carrier with the collector mirror into a cleaning tank; applying a cleaning agent to a reflective surface of the collector mirror by spraying the cleaning agent through a plurality of nozzles directed toward the collector mirror reflective surface until the collector mirror reflective surface is clean; rinsing the applied cleaning agent from the collector mirror reflective surface; and drying the collector mirror reflective surface.
    Type: Application
    Filed: May 16, 2012
    Publication date: November 21, 2013
    Applicant: CYMER, INC.
    Inventors: Silvia De Dea, Michael Varga, Alexander I. Ershov, Robert L. Morse
  • Publication number: 20130309845
    Abstract: A method of processing a substrate is provided. The method includes providing a substrate, performing a device forming process on the substrate, and cleaning the substrate. The step of cleaning the substrate includes cleaning the substrate with an atomic spray and rinsing the substrate with deionized water.
    Type: Application
    Filed: May 17, 2012
    Publication date: November 21, 2013
    Applicant: UNITED MICRO ELECTRONICS CORP.
    Inventor: Tsung-Hsun Tsai
  • Patent number: 8585826
    Abstract: A method of web cleaning, particularly relatively soft polymeric webs, without using dipping baths or ultrasonic energy. The method includes conveying the web against a backup roller and spraying the web with a high pressure liquid while the web is supported by the backup roller. Thereafter, residual fluid from the high pressure stream is stripped from the web by a gas curtain while the web is supported by the backup roller. In many convenient embodiments, the web is contacted with a cleaning roller while the web is in contact with the backup roller.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: November 19, 2013
    Assignee: 3M Innovative Properties Company
    Inventors: Brian E. Schreiber, William B. Kolb, Keith R. Bruesewitz
  • Patent number: 8585825
    Abstract: A method for cleaning a substrate is provided that includes applying a liquid medium to a surface of the substrate such that the liquid medium substantially covers a portion of the substrate that is being cleaned. One or more transducers are used to generate acoustic energy. The generated acoustic energy is applied to the substrate and the liquid medium meniscus such that the applied acoustic energy to the liquid medium prevents cavitation within the liquid medium. The acoustic energy applied to the substrate provides maximum acoustic wave displacement to acoustic waves introduced into the liquid medium. The acoustic energy introduced into the substrate and the liquid medium enables dislodging of the particle contaminant from the surface of the substrate. The dislodged particle contaminants become entrapped within the liquid medium and are carried away from the surface of the substrate by the liquid medium.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: November 19, 2013
    Assignee: Lam Research Corporation
    Inventors: Grant Peng, David Mui, Shih-Chung Kon
  • Patent number: 8585917
    Abstract: Provided herein are etching, cleaning and drying methods using a supercritical fluid, and a chamber system for conducting the same. The etching method includes etching the material layer using a supercritical carbon dioxide in which an etching chemical is dissolved, and removing an etching by-product created from a reaction between the material layer and the etching chemical using a supercritical carbon dioxide in which a cleaning chemical is dissolved. Methods of manufacturing a semiconductor device are also provided.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: November 19, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyo-san Lee, Chang-Ki Hong, Kun-Tack Lee, Woo-Gwan Shim, Jeong-Nam Han, Jung-Min Oh, Kwon-Taek Lim, Ha-Soo Hwang, Haldorai Yuvaraj, Jae-Mok Jung
  • Patent number: 8585830
    Abstract: A method of processing a substrate in a substrate processing apparatus that is arranged adjacent to an exposure device and includes first, second and third processing units, includes the steps of: forming a photosensitive film made of a photosensitive material on the substrate by said first processing unit before exposure processing by said exposure device. The method also includes applying washing processing to the substrate by said second processing unit after the formation of said photosensitive film by said first processing unit and before the exposure processing by said exposure device and transporting the substrate after the washing processing to said exposure device. The method further includes transporting the substrate from said exposure device and applying development processing by said third processing unit to the substrate transported after the exposure processing by said exposure device.
    Type: Grant
    Filed: February 2, 2010
    Date of Patent: November 19, 2013
    Assignee: Sokudo Co., Ltd.
    Inventors: Shuichi Yasuda, Masashi Kanaoka, Koji Kaneyama, Tadashi Miyagi, Kazuhito Shigemori, Toru Asano, Yukio Toriyama, Takashi Taguchi, Tsuyoshi Mitsuhashi, Tsuyoshi Okumura
  • Patent number: 8585831
    Abstract: There is provided a substrate cleaning method capable of cleaning a substrate on which a fine pattern is being formed in a short time with a simple configuration without having a harmful influence on the fine pattern. In the method, the substrate is transferred from a processing chamber for performing a process on the surface of the substrate therein to a cleaning chamber for cleaning the substrate therein. The substrate is cooled to a temperature in the cleaning chamber. A superfluid is supplied to the surface of the substrate, and contaminant components in the fine pattern are flowed out along with the superfluid as the superfluid flows over from the surface of the substrate.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: November 19, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hidefumi Matsui, Tsuyoshi Moriya, Eiichi Nishimura, Shinichi Kawaguchi, Jun Yamawaku, Kunio Miyauchi
  • Publication number: 20130298947
    Abstract: Disclosed is a substrate processing system, including: a processing chamber to process a substrate; a vaporizing unit to vaporize a material of liquid; a supply system to supply the processing chamber with gas of the material vaporized by the vaporizing unit; an exhaust system to exhaust an atmosphere in the processing chamber; and a cleaning liquid supply system to supply the vaporizing unit with cleaning liquid for cleaning a product deposited in the vaporizing unit, wherein the cleaning liquid supply system supplies at least two kinds of cleaning liquids into the vaporizing unit so that the product can be removed from the vaporizing unit by action of the two kinds of cleaning liquids on the product.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventors: Tomoki HORITA, Kazuhiro Hirahara, Hironobu Miya, Atsuhiko Suda, Hirohisa Yamazaki
  • Patent number: 8580042
    Abstract: An apparatus for cleaning and conditioning the surface of a semiconductor substrate such as wafer includes a rotatable chuck, a chamber, a rotatable tray for collecting cleaning solution with one or more drain outlets, multiple receptors for collecting multiple cleaning solutions, a first motor to drive chuck, and a second motor to drive the tray. The drain outlet in the tray can be positioned directly above its designated receptor located under the drain outlet. The cleaning solution collected by the tray can be guided into designated receptor. One characteristic of the apparatus is having a robust and precisely controlled cleaning solution recycle with minimum cross contamination.
    Type: Grant
    Filed: December 10, 2007
    Date of Patent: November 12, 2013
    Assignee: ACM Research (Shanghai) Inc.
    Inventors: Voha Nuch, David Wang, Yue Ma, Fufa Chen, Jian Wang, Yunwen Huang, Liangzhi Xie, Chuan He
  • Patent number: 8580046
    Abstract: Semiconductor wafers are treated in a liquid container filled at least partly with a solution containing hydrogen fluoride, such that surface oxide dissolves, are transported out of the solution along a transport direction and dried, and are then treated with an ozone-containing gas to oxidize the surface of the semiconductor wafer, wherein part of the semiconductor wafer surface comes into contact with the ozone-containing gas while another part of the surface is still in contact with the solution, and wherein the solution and the ozone-containing gas are spatially separated such that they do not come into contact with one another.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: November 12, 2013
    Assignee: Siltronic AG
    Inventors: Guenter Schwab, Diego Feijoo, Thomas Buschhardt, Hans-Joachim Luthe, Franz Sollinger
  • Patent number: 8580039
    Abstract: A surface treatment method of a metal member according to an embodiment of the invention includes removing an oily substance on the metal member by using gas-liquid two fluids that are obtained by boiling heated and pressured water under ordinary pressure. A surface treatment device of a metal member for removing an oily substance on the metal member includes self-generation two fluids production means for producing gas-liquid two fluids by boiling heated and pressured water under ordinary pressure, and a surface treatment room carrying out a surface treatment by bringing the self-generation two fluids into contact with the metal member.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: November 12, 2013
    Assignee: Hitachi Cable, Ltd.
    Inventors: Tomonori Saeki, Yoshiyuki Ando
  • Patent number: 8574370
    Abstract: The present invention relates to the use of at least one alkane sulphonic acid, with formula R—SO3H, where R represents a saturated, linear or branched hydrocarbon chain, comprising 1 to 4 atoms of carbon for removing rust from all types of surface, in particular from metal surfaces, specifically iron, steel and others. The invention also relates to a method for cleaning rust from all types of surfaces using at least one alkane sulphonic acid.
    Type: Grant
    Filed: November 27, 2009
    Date of Patent: November 5, 2013
    Assignee: Arkema France
    Inventors: Jean-Alex Laffitte, Bernard Monguillon
  • Patent number: 8574371
    Abstract: A method for cleaning containers, in particular bottles of glass or plastics, and a cleaning machine with at least one cleaning medium, with the containers cleaned at least in one station preferential for the cleaning result and/or in a procedure step with at least essentially chemical-free cleaning media. The cleaning medium is advantageously a granular material, in particular granular ice, carried under pressure with compressed air or compressed water. The cleaning machine suited for carrying out the method includes downstream of an unpacking and presoaking station, a pre-cleaning station with a high pressure water blasting pre-cleaning section, and subsequently an intensive cleaning station with at least one intensive cleaning section to which a pressure blasting system for chemical-free, granular material and a carrier medium are associated, and a disinfection station following the intensive cleaning station.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: November 5, 2013
    Assignee: Krones AG
    Inventors: Cornelia Folz, Jan Momsen, Heinz Humele, Timm Kirchhoff, Klaus-Karl Wasmuht, Bernd Hansen, Thomas Islinger, Christoph Weinholzer
  • Patent number: 8562748
    Abstract: Methods of cleaning workpieces are described. One method includes performing both a sonication cleaning operation and a rinse cleaning operation within a single cleaning tank. Another cleaning method described includes the use of cross flow of cleaning liquid within a cleaning tank while performing a rinse clean. The cleaning method includes the oscillation of one or more workpieces in the cleaning tank to perform the rinse clean.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: October 22, 2013
    Assignee: WD Media, LLC
    Inventors: Chaoyuan Chen, Shaun Chen, Michael S. Rosano
  • Patent number: 8562751
    Abstract: A dry cleaning method of a substrate processing apparatus includes forming a metal oxide by oxidizing a metal film adhered to the inside of a processing chamber of the substrate processing apparatus; forming a complex by reacting the metal oxide with ?-diketone; and sublimating the complex to be removed. A cleaning gas containing oxygen and ?-diketone is supplied into the processing chamber while heating the inside of the processing chamber. A flow rate ratio of oxygen to ?-diketone in the cleaning gas is set such that a formation rate of the metal oxide is lower than a formation rate of the complex.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: October 22, 2013
    Assignees: Tokyo Electron Limited, Central Glass Company, Limited
    Inventors: Isao Gunji, Yusaku Izawa, Hitoshi Itoh, Tomonori Umezaki, Yuta Takeda, Isamu Mori
  • Patent number: 8562752
    Abstract: A process chamber for processing semi-conductor wafers. The chamber includes at least one rotor within the process chamber. The rotor is adapted to receive and/or process semi-conductor wafers. The top of the process chamber also includes a tiltable rim. This rim tilts from a non-inclined position to an inclined position. The wafers may be loaded into and unloaded from the process chamber when the rim is in its inclined position.
    Type: Grant
    Filed: November 17, 2011
    Date of Patent: October 22, 2013
    Assignee: APPLIED Materials, Inc.
    Inventor: Daniel J. Woodruff
  • Patent number: 8562750
    Abstract: A method and apparatus for processing a bevel edge is provided. A substrate is placed in a bevel processing chamber and a passivation layer is formed on the substrate only around a bevel region of the substrate using a passivation plasma confined in a peripheral region of the bevel processing chamber. The substrate may undergo a subsequent semiconductor process, during which the bevel edge region of the substrate is protected by the passivation layer. Alternatively, the passivation layer may be patterned using a patterning plasma formed in an outer peripheral region of the processing chamber, the patterning plasma being confined by increasing plasma confinement. The passivation layer on outer edge portion of the bevel region is removed, while the passivation layer on an inner portion of the bevel region is maintained. The bevel edge of the substrate may be cleaned using the patterned passivation layer as a protective mask.
    Type: Grant
    Filed: December 17, 2009
    Date of Patent: October 22, 2013
    Assignee: Lam Research Corporation
    Inventors: Jack Chen, Yunsang Kim
  • Publication number: 20130269733
    Abstract: The present invention is in the field devices for hand washing, in particular the washing and drying of hands in public bathrooms. Accordingly it is an object of the present invention to provide a device for cleaning hands, especially a device for wetting hands, depositing a cleansing and/or disinfecting composition and rinsing consecutively, using a low amount of water. It has been found that an air-water jet nozzle assembly comprising two nozzles wherein a first nozzle is in fluid communication with a feed liquid source; and a second nozzle connected to a source of compressed air may be used to clean hands using low amounts of water in a short time.
    Type: Application
    Filed: December 14, 2011
    Publication date: October 17, 2013
    Inventors: Amit Chakrabortty, Amit Sah, Rudra Saurabh Shresth
  • Publication number: 20130269732
    Abstract: A carbon dioxide supply method and system for supplying supercritical and subcritical phases of carbon dioxide on-demand to a substrate to create a novel and improved cleaning sequence for removal of contaminants contained in the substrate. The ability for the supply system to deliver vapor, liquid and supercritical phases of carbon dioxide in a specific sequence at predetermined times during a process cleaning sequence produces an improved removal of contaminants from the substrate compared to conventional carbon dioxide cleaning processes.
    Type: Application
    Filed: April 15, 2013
    Publication date: October 17, 2013
    Inventors: Souvik Banerjee, William R. Gerristead, Jr.
  • Patent number: 8557705
    Abstract: A method of manufacturing a semiconductor device in which an insulating film is filled between patterns etched into a workpiece structure is disclosed. The method includes cleaning etch residues residing between the etched patterns by a first chemical liquid; rinsing the workpiece structure cleaned by the first chemical liquid by a rinse liquid; and coating the workpiece structure rinsed by the rinse liquid with a coating liquid for formation of the insulating film. The cleaning to the coating are carried out within the same processing chamber such that a liquid constantly exists between the patterns of the workpiece structure.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: October 15, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takeshi Hizawa, Nobuhide Yamada, Yoshihiro Ogawa, Masahiro Kiyotoshi