Gas Or Vapor Condensation Or Absorption On Work Patents (Class 134/31)
  • Patent number: 6843858
    Abstract: A method of operating a substrate processing chamber. In one embodiment the method includes processing one or more substrates in the substrate processing chamber and subsequently cleaning the chamber using a dry cleaning process. This substrate processing and dry cleaning sequence is then repeated multiple times before chamber is further cleaned by flowing a cleaning gas into the chamber and forming a plasma within the chamber from the cleaning gas in an extended cleaning process. During the extended cleaning process the plasma is maintained within the chamber for a total of at least 5 minutes before the chamber is reused to process a substrate.
    Type: Grant
    Filed: April 2, 2002
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Kent Rossman
  • Patent number: 6840249
    Abstract: In order to clean a semiconductor device having a dielectric layer deposited on a top surface of a lower metal wiring of the semiconductor device, and a contact hole or a via hole formed in the dielectric layer to expose the lower metal line therethrough, the semiconductor device is located within a radio frequency (RF) cleaning chamber. A gas mixture of HCl and H2O is introduced into the RF cleaning chamber and Ar gas plasma is generated in the RF cleaning chamber to excite HCl gas so that the HCl gas and an excited HCl gas are used to remove carbon radicals and metal particles.
    Type: Grant
    Filed: December 26, 2002
    Date of Patent: January 11, 2005
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Bo Min Seo
  • Publication number: 20040261823
    Abstract: A system and method for removing a layer from a substrate surface is provided. The method includes providing at least one encapsulating transport, where the encapsulating transport contains at least some reactive gas. At least one encapsulating transport is applied to the layer, and the layer is a chemically reactive layer. The encapsulating transport ruptures on the chemically reactive layer and releases the reactive gas in combination with a reaction inducing agent onto the chemically reactive layer to facilitate removal of the layer from the substrate surface.
    Type: Application
    Filed: June 27, 2003
    Publication date: December 30, 2004
    Applicant: LAM RESEARCH CORPORATION
    Inventor: John M. de Larios
  • Publication number: 20040255985
    Abstract: The present invention provides a system (200, 300) for remediating aberrations along the perimeter of a semiconductor wafer (202). The system includes a cleaning apparatus (204) within which the wafer is spun within a confined area. A chuck (208) defines the confined area, having a sidewall that extends above the upper surface (214) of the wafer and surrounds the perimeter of the wafer. The chuck also has a bottom wall, with an aperture formed therein, beneath the wafer. The system includes an isolation barrier (220), disposed atop the bottom wall of the chuck and around the aperture, in proximity to the lower surface so of the wafer. This forms a narrow gap (226) between the barrier and the wafer. A pressurized source forcefully directs a gas (218) at and along the lower surface of the wafer. The system also includes a remediation solution (228) that is applied to the upper surface of the wafer.
    Type: Application
    Filed: June 21, 2003
    Publication date: December 23, 2004
    Inventors: Changfeng Xia, Trace Q. Hurd
  • Publication number: 20040255984
    Abstract: The present invention is directed to the use of a high vapor pressure liquid prior to or simultaneous with cryogenic cleaning to remove contaminants from the surface of substrates requiring precision cleaning such as semiconductors, metal films, or dielectric films. A liquid suitable for use in the present invention preferably has a vapor pressure above 5 kPa and a freezing point below −50° C.
    Type: Application
    Filed: July 7, 2004
    Publication date: December 23, 2004
    Inventors: Souvik Banerjee, Harlan Forrest Chung
  • Publication number: 20040244820
    Abstract: The present invention provides a method and process for removing adherent molten metal from a surface by applying a non-wetting agent for the metal to the surface or to the adherent molten metal. The non-wetting agent may be a pressurized fluid or applied by a pressurized fluid.
    Type: Application
    Filed: July 23, 2003
    Publication date: December 9, 2004
    Inventors: Michael C. Breslin, Andrew C. Strange, Michael E. Fuller
  • Publication number: 20040238013
    Abstract: The present invention discloses a method for the removal of a number of molecular contaminants from surfaces within a device. A purge gas containing oxygen and/or water is introduced into the interior of the device, contacting at least a portion of the interior surfaces. A contaminated purge gas is produced by transferring a portion of the contamination from the interior surfaces into the purge gas. The contaminated purge gas is removed from the device and the process is continued until the contaminant concentration in the contaminated purge gas is below a predetermined level.
    Type: Application
    Filed: October 10, 2003
    Publication date: December 2, 2004
    Inventors: Jeffrey J. Spiegelman, Daniel Alvarez, Allan Tram, Russell Holmes
  • Patent number: 6824620
    Abstract: The invention is directed to a mehtod of cleaning an object in a controlled environment processing chamber into which solvents, water and/or gases are introduced. The process includes first applying a negative gauge pressure to the chamber to non-condensable gases and then introducing a solvent, solvent mixture, water or gas in either a liquid or vapor state to remove soluble contaminants from the surface of an object being processed in the chamber. Further steps recover residual solvent or solution from the object and chamber. A secondary cleaning step directs a vapor state fluid at high velocity at a solid surface of the object to remove insoluble material left behind after the pretreatment step. A final series of steps recovers any loose impediments or residual liquid or vapor from the chamber and returns the chamber to atmospheric pressure for removal of the cleaned object.
    Type: Grant
    Filed: November 4, 2003
    Date of Patent: November 30, 2004
    Inventors: Donald Gray, Charlotte Frederick
  • Publication number: 20040231696
    Abstract: The invention provides an apparatus for removing airborne pathogens and toxic substances from a surface of an article or a volume of air, comprising: a main processing chamber having a door for ingress to and egress from the main processing chamber, at least two high voltage electrodes for generating a current. The electrode can form ozone, if desired, to destroy pathogens. Optionally, the electrodes can be in a sealed or unsealed glass tube, with or without mercury, to generate ultraviolet light for photochemical reaction with pathogens or other contaminants. The apparatus also includes a post processing chamber comprising at least one filter for removing or absorbing airborne particulates and pathogens, and includes low voltage electrodes to neutralize charges in air transmitted from the main processing chamber.
    Type: Application
    Filed: June 9, 2004
    Publication date: November 25, 2004
    Inventor: Sheree H. Wen
  • Publication number: 20040211440
    Abstract: System and method for reducing damage to a semiconductor substrate when using cleaning fluids at elevated pressures to clean the semiconductor substrates. A preferred embodiment comprises applying the cleaning fluid at a first pressure for a first time period, wherein the first pressure is relatively low, and then increasing the pressure of the cleaning fluid to a pressure level that can effectively clean the semiconductor substrate and maintaining the pressure level for a second time period. The application of the cleaning fluid at the relatively low initial pressure acts as a temporary filler and creates a buffer of the cleaning fluid on the semiconductor substrate and helps to dampen the impact of the subsequent high pressure application of the cleaning fluid on the semiconductor substrate.
    Type: Application
    Filed: April 24, 2003
    Publication date: October 28, 2004
    Inventors: Ching-Ya Wang, Ping Chuang, Yu-Liang Lin, Mei-Sheng Zhou, Henry Lo
  • Publication number: 20040211448
    Abstract: Activated gaseous species generated adjacent a carbon contaminated surface affords in-situ cleaning. A device for removing carbon contamination from a surface of the substrate includes (a) a housing defining a vacuum chamber in which the substrate is located; (b) a source of gaseous species; and (c) a source of electrons that are emitted to activate the gaseous species into activated gaseous species. The source of electrons preferably includes (i) a filament made of a material that generates thermionic electron emissions; (ii) a source of energy that is connected to the filament; and (iii) an electrode to which the emitted electrons are attracted. The device is particularly suited for photolithography systems with optic surfaces, e.g., mirrors, that are otherwise inaccessible unless the system is dismantled.
    Type: Application
    Filed: May 24, 2004
    Publication date: October 28, 2004
    Applicant: EUV LLC
    Inventors: Leonard E. Klebanoff, Philip Grunow, Samuel Graham
  • Publication number: 20040211447
    Abstract: An apparatus is disclosed for performing high-pressure processing of a workpiece having a top face and a bottom face. The apparatus comprises a processing chamber and a holder for securing the workpiece within the processing chamber so that a substantial portion of the top face and a substantial portion of the bottom face is exposed to a processing material introduced into the processing chamber. In one embodiment, the holder comprises an upper segment and a lower segment. The lower segment contacts the workpiece at or near an edge of the workpiece, thus supporting the workpiece in a first vertical direction and securing it in a horizontal plane. The upper segment contacts the workpiece at or near an edge of the workpiece, securing it in a second vertical direction.
    Type: Application
    Filed: April 28, 2003
    Publication date: October 28, 2004
    Applicant: Supercritical Systems, Inc.
    Inventor: Kenji Yokomizo
  • Patent number: 6803075
    Abstract: A film deposition method includes the steps of preparing a first chamber, a second chamber, and a transport connector which connects the first chamber and the second chamber with each other, setting a pressure in the second chamber to be lower than a pressure in the first chamber, and introducing a plurality of kinds of particles into the second chamber using a differential pressure between the pressure in the first chamber and the pressure in the second chamber so that the particles collide with a substrate placed in the second chamber to form a film on the substrate. The plurality of kinds of particles include particles of a sublimable substance and particles of a material for forming the film on the substrate in the second chamber. Consequently, cleaning by the sublimable substance and film deposition by the material for forming the film are performed simultaneously.
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: October 12, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kenichi Iwata, Yoshikatsu Okada
  • Publication number: 20040194799
    Abstract: There is provided a surface cleaning apparatus and method using plasma to remove a native oxide layer, a chemical oxide layer, and a damaged portion from a silicon substrate surface, and contaminants from a metal surface. By absorbing potential in a grounded grid or baffle between a plasma generator and a substrate, only radicals are passed to the substrate, and HF gas is used as a second processing gas. Thus a native oxide layer, a chemical oxide layer, or a damaged portion formed on the silicon substrate during etching a contact hole is removed and the environment of a chamber is maintained constant by introducing a conditioning gas after each wafer process. Therefore, process uniformity is improved.
    Type: Application
    Filed: April 23, 2004
    Publication date: October 7, 2004
    Inventors: Jeong-Ho Kim, Gil-Gwang Lee
  • Patent number: 6799584
    Abstract: This invention is directed to apparatus and a method for removing particles from a surface, such as a semiconductor wafer. A fluid is applied to the surface on which the particles are distributed so as to coat the particles with the fluid. At least some of these particles have a dimension of less than approximately one micron. A suction force is applied in the vicinity of the surface after applying the fluid so as to remove from the surface the majority of those particles having the dimension of less than approximately one micron.
    Type: Grant
    Filed: November 9, 2001
    Date of Patent: October 5, 2004
    Assignee: Applied Materials, Inc.
    Inventors: David Yogev, Yoram Uzeil, Lev Frisman, Amir Wachs
  • Patent number: 6797071
    Abstract: Surface cleaning, chemical treatment and drying of semiconductor substrates is carried out using foam as a medium instead of a condensed phase liquid medium. In cleaning and chemical treatment, by introducing a foam into an overflow vessel the foam is caused to pass over the substrate in moving contact therewith. Drying of the substrate is carried out, using a water solution of carbon dioxide in a pressurizable vessel. By releasing the pressure in the vessel, a layer of foam is established on the surface of the solution. The solution is discharged from the vessel, causing the foam layer to pass over the substrate in moving contact therewith. The carbon dioxide reduces the surface tension of the water, thereby enabling the foam layer to be produced and also assisting in the elimination of water from the surface of the substrate. In both cases, the use of foam reduces materials requirements and also reduces the quantity of particles deposited onto the substrate in the treatment process.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: September 28, 2004
    Inventor: Paul A. Kittle
  • Patent number: 6793736
    Abstract: A method for providing a high flux of point of use activated reactive species for semiconductor processing wherein a workpiece is exposed to a gaseous atmosphere containing a transmission gas that is substantially nonattenuating to preselected wavelengths of electromagnetic radiation. A laminar flow of a gaseous constituent is also provided over a substantially planar surface of the workpiece wherein a beam of the electromagnetic radiation is directed into the gaseous atmosphere such that it converges in the laminar flow to provide maximum beam energy in close proximity to the surface of the workpiece, but spaced a finite distance therefrom. The gaseous constituent is dissociated by the beam producing an activated reactive species that reacts with the surface of the workpiece.
    Type: Grant
    Filed: March 20, 2003
    Date of Patent: September 21, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Trung T. Doan
  • Patent number: 6790291
    Abstract: In a substrate processing apparatus for use in performing a reduced-pressure pull up drying method, a liquid drainage pipe (46) for draining a drainage liquid containing an organic solvent from a processing chamber (26) including therein a processing bath (10) for rinsing a substrate (W) with water is provided with a buffer tank (50) inserted therein for separation between the drainage liquid and an organic solvent vapor. A vapor discharge pipe (62) is connected in communication with an interior space of the buffer tank, and is provided with pressure regulating valves (64a, 64b) inserted therein for regulating pressure in the processing chamber at a fixed pressure higher than atmospheric pressure.
    Type: Grant
    Filed: September 25, 2001
    Date of Patent: September 14, 2004
    Assignee: Dainippon Screen Mfg. Co. Ltd.
    Inventor: Masahiro Kimura
  • Patent number: 6783601
    Abstract: The invention is directed to a controlled environment processing chamber into which solvents, water and/or gases can be introduced for cleaning of an object. The process includes first applying a negative gauge pressure to the chamber to non-condensable gases and then introducing a solvent, solvent mixture, water or gas in either a liquid or vapor state to remove soluble contaminants from the surface of an object being processed in the chamber. Further steps recover residual solvent or solution from the object and chamber. A secondary cleaning step directs a vapor state fluid at high velocity at a solid surface of the object to remove insoluble material left behind after the pretreatment step. A final series of steps recovers any loose impediments or residual liquid or vapor from the chamber and returns the chamber to atmospheric pressure for removal of the cleaned object.
    Type: Grant
    Filed: June 6, 2002
    Date of Patent: August 31, 2004
    Inventors: Donald Gray, Charlotte Fredrick
  • Publication number: 20040163677
    Abstract: An unnecessary film is removed by cleaning gas flowing in a treatment vessel 8 for depositing a film on an object W to be processed such as a semiconductor wafer. In this case, the cleaning gas is preheated and activated by the gas heating mechanism 52 and the cleaning gas flows in the treatment vessel 8 in this state. By doing this, an unnecessary film in the treatment vessel made of quartz is removed effectively without damaging the treatment vessel.
    Type: Application
    Filed: March 2, 2004
    Publication date: August 26, 2004
    Inventors: Yutaka Takahashi, Hitoshi Kato, Hiroyuki Yamamoto, Katsutoshi Ishii, Kazuaki Nishimura, Phillip Spaull
  • Publication number: 20040163669
    Abstract: In a method of cleaning metal-containing deposits such as tantalum from a surface of a process chamber component, such as a metal surface, the surface is immersed in a cleaning solution. In one version, the cleaning solution is a solution having HF and HNO3 in a ratio that removes deposits from the surface substantially without eroding the surface. In another version, the cleaning solution is a solution having KOH and H2O2. The solution can be treated after cleaning the surface to recover tantalum-containing materials and one or more of the cleaning solutions.
    Type: Application
    Filed: December 19, 2003
    Publication date: August 26, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Karl Brueckner, Hong Wang
  • Publication number: 20040159341
    Abstract: A photoresist stripping apparatus and a corresponding method for removing photoresist layers after a patterned polyimide layer is developed. The photoresist-stripping apparatus includes a transporting unit, a stripping unit, a washing unit, a drying unit and a control unit. The transporting unit connects the stripping unit, the washing unit and the drying unit. The control unit is responsible for controlling the transport sequence and timing of the transporting unit. The method of stripping the photoresist layer off the OLED panel includes providing a stripping solution to the stripping unit to remove photoresist layers. The OLED panel is jet-cleaned with a washing solution in the washing unit so that any residual stripping agent is removed. Finally, the surface of the OLED panel is blown dry.
    Type: Application
    Filed: February 5, 2004
    Publication date: August 19, 2004
    Inventors: Yih Chang, Tien-Rong Lu
  • Patent number: 6776851
    Abstract: A method for removing chamber deposits in between process operations in a semiconductor process chamber is provided. The method initiates with depositing a fluorine containing polymer layer over an inner surface of a semiconductor process chamber where the semiconductor chamber is empty. Then, a wafer is introduced into the semiconductor process chamber after depositing the fluorine containing polymer layer. Next, a process operation is performed on the wafer. The process operation deposits a residue on the fluorine containing polymer layer covering the inner surface of the semiconductor process chamber. Then, the wafer is removed from the semiconductor process chamber. Next, an oxygen based cleaning operation is performed. The oxygen based cleaning operation liberates fluorine from the fluorine containing polymer layer to remove a silicon based residue. An apparatus configured to remove chamber deposits between process operations is also provided.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: August 17, 2004
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, John E. Daugherty, Vahid Vahedi, Saurabh J. Ullal
  • Publication number: 20040157336
    Abstract: A fluidics station is described that includes a housing that accepts removable modules, where each of the removable modules includes; a holder that receives a probe array cartridge, where the probe array cartridge includes a chamber that is fluidically coupled to fluid transfer apertures; a transport mechanism that reversibly transports the holder and the probe array cartridge between a first position and a second position; alignment pins constructed and arranged to engage one or more alignment features of the probe array cartridge, where the probe array cartridge is in the second position; and a needle constructed and arranged to interface with each of the fluid transfer apertures.
    Type: Application
    Filed: November 13, 2003
    Publication date: August 12, 2004
    Applicant: Affymetrix, INC.
    Inventors: Christopher Petroff, Frank McFall, Peter Lobban, Richard Brisk, Eric Schultz, Raymond W. Leveille
  • Publication number: 20040144401
    Abstract: A cleanling apparatus for removing contaminants from the surface of a substrate includes two parts: one which produces an aerosol including frozen particles and directs the aerosol onto the surface of the substrate to remove contaminants from the surface by physical force, and another part in which a fluid including a gaseous reactant is directed onto the surface of the substrate while the surface is irradiated to cause a chemical reaction between the reactant and organic contaminants on the surface, to chemically removing the organic contaminants. In the method of cleaning the substrate, the physical and chemical cleaning processes are carried out in a separate manner from one another so that the frozen particles of the aerosol are not exposed to the effects of the light used in irradiating the surface of the substrate. Therefore, the effectiveness of the aerosol in cleaning the substrate is maximized.
    Type: Application
    Filed: January 20, 2004
    Publication date: July 29, 2004
    Inventors: Moon-hee Lee, Kun-tack Lee, Woo-gwan Shim, Jong-ho Chung
  • Patent number: 6767877
    Abstract: Silicon wafers are treated with chemicals during the manufacture of integrated circuits according to the method of the invnention in the apparatus of the invention which comprises a process tank for cleaning, rinsing, and/or drying silicon wafers; a first chemical supply vessel suitable for being pressurized, fluidly coupled to the process tank; a chemical flow sensor for electronically monitoring the flow rate of chemical from the first hemical supply vessel; a first chemical flow metering valve for electronically controlling the flow rate of chemical from the first chemical supply vessel; a supply of hot DI water fluidly coupled to the process tank; a hot water metering valve for electronically controlling the flow rate of hot DI water from the supply of the hot DI water; a supply of cold DI water fluidly coupled to the process tank; a cold water metering means for electronically controlling the flow rate of cold DI water from the supply of cold DI water; water flow sensor means for electronically monitorin
    Type: Grant
    Filed: January 18, 2002
    Date of Patent: July 27, 2004
    Assignee: Akrion, LLC
    Inventors: Chang Kuo, Ismail Kashkoush, Nick Yialamas, Gregory Skibinski
  • Publication number: 20040139991
    Abstract: The present invention relates to a method of cleaning and drying a semiconductor structure in a modified conventional gas etch/rinse or dryer vessel.
    Type: Application
    Filed: November 4, 2003
    Publication date: July 22, 2004
    Inventor: Donald L. Yates
  • Patent number: 6765083
    Abstract: This invention relates to solvents which may be used to extract polymers that are made of hydrophilic and hydrophobic monomers.
    Type: Grant
    Filed: October 30, 2001
    Date of Patent: July 20, 2004
    Assignee: Johnson & Johnson Vision Care, Inc.
    Inventors: James D. Ford, Azaam Alli, Ture Kindt-Larsen
  • Publication number: 20040134517
    Abstract: A combination of parallel processes is disclosed to provide optimal re-mediation operations for contaminated soil. Soils with high levels of heavy petroleum hydrocarbons are directed to a thermal process for destruction in a combustion process. Carbon dioxide generated and recovered in the thermal process is employed as a solvent in a solvent process to clean other soils of petroleum hydrocarbons and certain chlorinated hydrocarbon compounds. In the solvent process, contaminated soils are run through a closed soil separator where the soils are washed with carbon dioxide. The carbon dioxide is then dried from the soil and the soil is sent for segregation. Soils with the lightest forms of hydrocarbon contamination (gasoline, etc.) are subjected to a vaporization process utilizing heat energy generated in the thermal process to heat the soil, under a partial vacuum, and the vapors generated are captured, condensed, and recovered as product.
    Type: Application
    Filed: December 19, 2003
    Publication date: July 15, 2004
    Inventor: Steve L. Clark
  • Publication number: 20040134515
    Abstract: An improved method for removing contaminant particles from a surface of a semiconductor wafer includes forming a sacrificial film on the surface of the wafer and then removing the sacrificial film by supercritical fluid cleaning. The removal of the sacrificial film via the supercritical fluid cleaning process facilitates removing the contaminant particles. The method further includes identifying and characterizing the contaminant particles and creating a record of the contaminant particle data. The composition of the sacrificial film is selected based on the contaminant particles data and the supercritical cleaning recipe is selected based on the composition of the sacrificial film and the contaminant particles data.
    Type: Application
    Filed: December 23, 2003
    Publication date: July 15, 2004
    Inventor: Paul P. Castrucci
  • Patent number: 6750977
    Abstract: A dry processing apparatus includes a processing chamber provided with a measurement window having a reflection portion which totally reflects light on the side of an inner surface thereof and a transmission portion. When a layer is not deposited, measurement light is irradiated so that the light is totally reflected by the reflection portion. A deviation between the measurement light reflected by a surface of the deposited layer and the measurement light reflected by the reflection portion is measured to determine a thickness of the deposited layer. A quantity of light reflected by the surface of the deposited layer is compared with the light quantity in case where irregularities are not formed in the surface of the deposited layer to evaluate a state of irregularities of the surface. The thickness of the deposited layer and a state of the surface of the layer are monitored separately.
    Type: Grant
    Filed: May 16, 2001
    Date of Patent: June 15, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Toru Otsubo, Tatehito Usui
  • Publication number: 20040103919
    Abstract: In a system for cleaning a workpiece or wafer, a boundary layer of heated liquid is formed on the workpiece surface. Ozone is provided around the workpiece. The ozone diffuses through the boundary layer and chemically reacts with contaminants on the workpiece surface. Preferably, the liquid includes water, and may also include a chemical. Steam may also be used with the steam also physically removing contaminants, and also heating the workpiece to speed up chemical cleaning. Sonic or electromagnetic energy may also be introduced to the workpiece.
    Type: Application
    Filed: November 25, 2003
    Publication date: June 3, 2004
    Inventors: Michael Kenny, Brian Aegeter, Eric Bergman, Dana Scranton
  • Patent number: 6736147
    Abstract: A plasma CVD device includes a reaction chamber, a remote plasma discharge chamber that is provided remotely from the reaction chamber, and piping that links the reaction chamber and the remote plasma discharge chamber. The remote plasma discharge chamber activates cleaning gas by plasma discharge energy, and the activated cleaning gas is introduced into the inside of the reaction chamber through the piping and changes solid substances that adhere to the inside of the reaction chamber in consequence of film formation, to gaseous substances, thereby cleaning the inside of the reaction chamber. The device is characterized by at least one of the following: (a) the remote plasma discharge chamber generates active species using radio frequency oscillating output energy of a preselected frequency; (b) the piping is made of materials that are not corroded by the active species; or (c) the piping is provided with a through-flow type valve.
    Type: Grant
    Filed: January 18, 2001
    Date of Patent: May 18, 2004
    Assignee: ASM Japan K.K.
    Inventors: Kiyoshi Satoh, Kazuo Sato, Hideaki Fududa
  • Patent number: 6733597
    Abstract: A method is provided for cleaning a dual damascene structure. A first metal layer, a cap layer, and a dielectric layer are formed on a substrate in sequence. Then a dual damascene opening is formed in the dielectric layer and the cap layer exposing the first metal layer. Next, a post-etching cleaning step is carried out to clean the dual damascene opening using a fluorine-based solvent. Then, an argon gas plasma is sputtered to clean the dual damascene opening before a second metal layer fills in the dual damascene opening.
    Type: Grant
    Filed: April 24, 2001
    Date of Patent: May 11, 2004
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Ning Wu, Sun-Chieh Chien
  • Publication number: 20040079390
    Abstract: A system and method are provided for stripping one or more optical fibers having multiple coatings, accomplished with multiple stripping steps or passes. As an example, each coating may be stripped relatively independently. Such stripping may be accomplished with multiple bursts of a fluid or gas heated to a temperature sufficient to remove the coating.
    Type: Application
    Filed: July 11, 2003
    Publication date: April 29, 2004
    Inventor: Robert G. Wiley
  • Patent number: 6726848
    Abstract: In a method for treating a semiconductor substrate, a single substrate is positioned in a single-substrate process chamber and subjected to wet etching, cleaning and/or drying steps. The single substrate may be exposed to etch or clean chemistry in the single-substrate processing chamber as turbulence is induced in the etch or clean chemistry to thin the boundary layer of fluid attached to the substrate. Megasonic energy and/or disturbances in the chamber surfaces may provide the turbulence for boundary layer thinning. According to another aspect of a method according to the present invention, megasonic energy may be directed into a region within the single-substrate process chamber to create a zone of boundary layer thinning across the substrate surface, and a single substrate may be translated through the zone during a rinsing or cleaning process within the chamber to optimize cleaning/rinsing performance within the zone.
    Type: Grant
    Filed: December 7, 2001
    Date of Patent: April 27, 2004
    Assignee: SCP Global Technologies, Inc.
    Inventors: Eric Hansen, Victor Mimken, Martin Bleck, M. Rao Yalamanchili, John Rosato
  • Publication number: 20040069321
    Abstract: A method and a device for producing an adhesive surface on a substrate which can be bonded to another substrate. In an implementation, the technique includes treating the surface of the substrate by wet chemical etching to remove an oxide layer and to provide a hydrophobic surface, and exposing the etched hydrophobic surface to a gaseous ozone atmosphere to provide a dry hydrophilic surface. A device for producing an adhesive surface on a substrate according to an implementation includes a bath with an etchant for removing an oxide layer from the surface of the substrate and to produce a hydrophobic surface, and a container having an inner volume that surrounds the bath. The inner volume also includes a gaseous ozone atmosphere to produce a dry hydrophilic surface.
    Type: Application
    Filed: September 16, 2003
    Publication date: April 15, 2004
    Inventors: Christophe Maleville, Corinne Maunand-Tussot
  • Publication number: 20040065344
    Abstract: Provided is a parallel-plate-type processing apparatus (10), which performs plasma CVD and includes a chamber (11) to be cleaned. To perform cleaning of the chamber (11), plasma of a gas including fluorine is generated outside the chamber (11), and supplied into the chamber (11). During the cleaning, an RF power is applied to electrode plates (12, 17) inside the chamber (11).
    Type: Application
    Filed: November 7, 2003
    Publication date: April 8, 2004
    Inventors: Shinsuke Oka, Osamu Yokoyama, Risa Nakase, Shuuichi Ishizuka
  • Publication number: 20040065354
    Abstract: An apparatus and method are disclosed that can process a substrate such as a wafer while keeping high cleanliness. The apparatus comprises a center port 100, which is stationarily arranged in the center and on the surface of which at least one blow-off outlet is provided for blowing off fluid, and a rotating housing portion 200 which is capable of rotating about the center port 100. The rotating housing portion 200 comprises a top plate 210 comprising a main surface S2 that opposes a wafer W, and a lower housing being connected to the top plate 210 and rotatably driven by a rotation-driving member. When the surface S1 comprising blow-off outlets 151a and 153a of the center port 100 is offset from the main surface S2 of the top plate 210 and fluid is blown off from the blow-off outlet 151a, the substrate W is contactlessly held above the main surface S2 of the top plate 210 and the surface S1 of the center port 100.
    Type: Application
    Filed: September 16, 2003
    Publication date: April 8, 2004
    Inventors: Tadashi Ishizaki, Kazuyoshi Takeda, Tohru Watari
  • Publication number: 20040045579
    Abstract: By a simple apparatus construction and process, it is made possible to “clean precisely” a surface at the molecular/atomic level, and the purification degree of the surface processed minutely is made into 1012 molecules/cm2 or less. A steam-spraying nozzle is disposed such that a line slit nozzle is in a diameter direction, and mist-containing steam is sprayed onto the surface of a substrate. Thereby, particles in the steam-spraying surface (the particles were made to adhere by dipping the substrate in a solution containing polystyrene (particle diameter of 0.6 &mgr;m) or alumina (particle diameter of 0.3 &mgr;m to 0.5 &mgr;m) particles at 105 particles/ml.) are removed by about 90% to 95% after ten-seconds spraying, and by 99% or more, that is, to less than the detection limit of a wafer inspection device, after twenty-seconds spraying.
    Type: Application
    Filed: September 8, 2003
    Publication date: March 11, 2004
    Inventors: Nobuhiro Miki, Takahisa Nitta
  • Patent number: 6699330
    Abstract: A method of removing surface-deposited contaminants, comprising bringing an ozone-containing treating solution into contact with the surface of a treating target on which contaminants have deposited. The ozone-containing treating solution comprises an organic solvent having a partition coefficient to ozone in a gas, of 0.6 or more, and ozone having been dissolved in the solvent. Contaminants having deposited on the surfaces of various articles including substrates for electronic devices, such as semiconductor substrates and substrates for liquid crystal display devices can be removed by room-temperature and short-time treatment in a high safety and a good efficiency.
    Type: Grant
    Filed: October 2, 2000
    Date of Patent: March 2, 2004
    Assignee: Nomura Micro Science Co., Ltd.
    Inventor: Hisashi Muraoka
  • Patent number: 6692579
    Abstract: A method for cleaning a semiconductor structure using vapor phase condensation with a thermally vaporized cleaning agent, a hydrocarbon vaporized by pressure variation, or a combination of the two. In the thermally vaporized cleaning agent process, a semiconductor structure is lowered into a vapor blanket in a thermal gradient cleaning chamber at atmospheric pressure formed by heating a liquid cleaning agent below the vapor blanket and cooling the liquid cleaning agent above the vapor blanket causing it to condense and return to the bottom of the thermal gradient cleaning chamber. The semiconductor structure is then raised above the vapor blanket and the cleaning agent condenses on all of the surfaces of the semiconductor structure removing contaminants and is returned to the bottom of the chamber by gravity.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: February 17, 2004
    Assignee: Chartered Semiconductor Manufacturing Ltd.
    Inventors: Sudipto Ranendra Roy, Yi Xu, Simon Chooi, Yakub Aliyu, Mei Sheng Zhou, John Leonard Sudijono, Paul Kwok Keung Ho, Subhash Gupta
  • Publication number: 20040020513
    Abstract: A method of thinning a silicon wafer in a controllable cost-effective manner with minimal chemical consumption. The wafer is placed into a process chamber, after which ozone gas and HF vapor, are delivered into the process chamber to react with a silicon surface of the wafer. The ozone and HF vapor may be delivered sequentially, or may be mixed with one another before entering the process chamber. The ozone oxidizes the silicon surface of the wafer, while the HF vapor etches the oxidized silicon away from the wafer. The etched oxidized silicon is then removed from the process chamber. As a result, the wafer is thinned, which aids in preventing heat build-up in the wafer, and also makes the wafer easier to handle and cheaper to package. In alternative embodiments, HF may be delivered into the process chamber as an anhydrous gas or in aqueous form.
    Type: Application
    Filed: July 30, 2003
    Publication date: February 5, 2004
    Applicant: Semitool, Inc.
    Inventor: Eric J. Bergman
  • Patent number: 6684890
    Abstract: In accordance with one embodiment there is provided a method of improving the performance of a substrate cleaner of the type having a megasonic probe with a probe shaft extending generally parallel to a surface of a rotating substrate, and at least one dispenser for applying a cleaning liquid onto the surface of the substrate, wherein the megasonic probe agitates the liquid on the surface. The method comprising dissolving gas in the liquid before the liquid reaches the dispenser. In accordance with another embodiment, an apparatus for cleaning substrates comprises a rotary fixture which is adapted to support a substrate and rotate the substrate about a first axis, a probe having a probe shaft extending generally parallel to a surface of the substrate, and a megasonic transducer in acoustically coupled relation to the probe.
    Type: Grant
    Filed: July 16, 2001
    Date of Patent: February 3, 2004
    Assignee: Verteq, Inc.
    Inventors: Tom Nicolosi, Yi Wu
  • Patent number: 6675816
    Abstract: In a parallel flat plate type plasma CVD apparatus, plasma damage of constituent parts in a reaction chamber due to irregularity of dry cleaning in the reaction chamber is reduced and the cost is lowered. In the parallel flat plate type plasma CVD apparatus in which high frequency voltages of pulse waves having mutually inverted waveforms are applied to an upper electrode and a lower electrode, and the inversion interval of the pulse wave can be arbitrarily changed, the interior of the reaction chamber is dry cleaned.
    Type: Grant
    Filed: March 26, 2001
    Date of Patent: January 13, 2004
    Assignee: Semiconductor Energy Laboratory Co., Ltd
    Inventor: Mitsuhiro Ichijo
  • Publication number: 20040000327
    Abstract: An apparatus for washing quartz parts, particularly for process equipment used in semiconductor industries, comprising a process unit that is suitable to perform washing, a unit for managing washing and rinsing fluids, and a control unit, the units being mutually separate, the process unit comprising a bell-shaped element that is suitable to enclose hermetically the quartz parts to be washed, the quartz parts being inserted vertically in the bell-shaped element.
    Type: Application
    Filed: June 26, 2002
    Publication date: January 1, 2004
    Inventors: Fabio Somboli, Raffaele Ninni
  • Patent number: 6666979
    Abstract: The present invention pertains to a method of fabricating a surface within a MEM which is free moving in response to stimulation. The free moving surface is fabricated in a series of steps which includes a release method, where release is accomplished by a plasmaless etching of a sacrificial layer material. An etch step is followed by a cleaning step in which by-products from the etch step are removed along with other contaminants which may lead to stiction. There are a series of etch and then clean steps so that a number of “cycles” of these steps are performed. Between each etch step and each clean step, the process chamber pressure is typically abruptly lowered, to create turbulence and aid in the removal of particulates which are evacuated from the structure surface and the process chamber by the pumping action during lowering of the chamber pressure. The final etch/clean cycle may be followed by a surface passivation step in which cleaned surfaces are passivated and/or coated.
    Type: Grant
    Filed: October 29, 2001
    Date of Patent: December 23, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Jeffrey D. Chinn, Vidyut Gopal, Sofiane Soukane, Toi Yue Becky Leung
  • Patent number: 6663723
    Abstract: One aspect of the present invention relates to a method of cleaning a patterned photoresist clad structure involving the steps of contacting the patterned photoresist clad structure with an alcohol vapor comprising at least one compound having the Formula ROH, wherein R is a hydrocarbon group comprising from 4 to about 8 carbon atoms; condensing the alcohol vapor on the patterned photoresist clad structure; and removing the condensed alcohol vapor from the patterned photoresist clad structure. Another aspect of the present invention involves the use of an alcohol vapor having a boiling point from about 102° C. to about 175° C. Yet another aspect of the present invention involves the use of an alcohol vapor having a flash point from about 15° C. to about 80° C.
    Type: Grant
    Filed: October 10, 2001
    Date of Patent: December 16, 2003
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Michael K. Templeton, Ramkumar Subramanian, Khoi A. Phan, Bharath Rangarajan
  • Patent number: 6659110
    Abstract: A method of removing organic impurities from a surface of a substrate that is used for feeding or processing web material, wherein a jet of an atmospheric plasma is directed onto the surface of the substrate.
    Type: Grant
    Filed: June 20, 2001
    Date of Patent: December 9, 2003
    Assignee: PlasmaTreat GmbH
    Inventors: Peter Förnsel, Christian Buske
  • Patent number: 6660103
    Abstract: A method of cleaning ink jet printheads without rendering the printheads inoperative by soaking the printheads in a cleaning solution of acetone and n-methyl-2-pyrolidine, and then flushing the printhead with a gas, such as air. The solution is made of about 70% acetone and about 30% n-methyl-2-pyrolidine by weight.
    Type: Grant
    Filed: March 28, 2002
    Date of Patent: December 9, 2003
    Assignee: VUTEk, Inc.
    Inventors: Ian Johnston, Greg Sinchar