With Measuring, Sensing, Detection Or Process Control Means Patents (Class 156/345.24)
  • Patent number: 10550473
    Abstract: A shower head according to an embodiment includes: a mixing chamber mixing a plurality of process gases; a shower plate provided below the mixing chamber, the shower plate including a plurality of longitudinal flow paths and a lateral cooling flow path provided between the longitudinal flow paths, a mixed gas of the process gases flowing through the longitudinal flow paths, a cooling medium flowing through the lateral cooling flow path; and an outer circumferential portion cooling flow path provided around the shower plate.
    Type: Grant
    Filed: July 8, 2019
    Date of Patent: February 4, 2020
    Assignee: NuFlare Technology, Inc.
    Inventors: Takumi Yamada, Yuusuke Sato, Hideshi Takahashi
  • Patent number: 10541169
    Abstract: Embodiments of the disclosure relate to methods and a system for adjusting the chucking voltage of an electrostatic chuck. In one embodiment, a system for plasma processing a substrate includes a plasma processing chamber, a radio-frequency (RF) matching circuit coupled to the chamber, a sensor and a controller. The chamber includes a chamber body having an inner volume, a bipolar electrostatic chuck disposed in the inner volume and a power supply configured to provide chucking voltage to a pair of electrodes embedded within the electrostatic chuck. When plasma is energized within the chamber by the application of RF power through an RF matching circuit, the sensor is configured to detect a change in an electrical characteristic at the RF matching circuit. The controller is coupled to the power supply and configured to adjust the chucking voltage in response to the change in the electrical characteristic detected by the sensor.
    Type: Grant
    Filed: May 12, 2017
    Date of Patent: January 21, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Chong Jiang, Lei Jing, Mingte Liu, Adolph Miller Allen, Michael W. Johnson, Pallavi Zhang, Michael D. Armacost
  • Patent number: 10502651
    Abstract: Systems and methods for in-situ leak detection and endpoint detection of wafer dry etch or chamber clean in chambers, e.g., vacuum chambers used in semiconductor processing. A mini environment is created and a sensor, such as an SPOES sensor, can be used in the mini-environment to perform leak detection.
    Type: Grant
    Filed: October 5, 2016
    Date of Patent: December 10, 2019
    Assignee: INFICON, INC.
    Inventor: Chenglong Yang
  • Patent number: 10438805
    Abstract: A method and a system for plasma etching are provided. The method includes measuring a first set of plasma etch processing parameters; determining an etch rate; altering the plasma etch processing chamber hardware configuration if the determined etch rate differs from a standard etch rate by more than a predetermined etch rate difference threshold, thereafter repeating the determining and altering until the determined etch rate differs from the standard etch rate by less than the predetermined etch rate difference threshold. The method further includes measuring a critical dimension of an etched feature and altering the etch processing parameters if the measured critical dimension differs from a standard critical dimension by more than a predetermined critical dimension difference threshold, thereafter repeating the determining and altering until the measured critical dimension differs from the standard critical dimension by less than the predetermined critical dimension difference threshold.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: October 8, 2019
    Assignee: Tokyo Electron Limited
    Inventor: Jun Shinagawa
  • Patent number: 10409271
    Abstract: A scheduling method includes a first step where a controller prepares the schedule so that a preliminary processing process and a substrate processing process are performed in that order by a processing unit in common, a second step where, when an abnormality occurs in a substrate processing apparatus in a period from the start of the preliminary processing process to before the start of the substrate processing process, the controller stops the substrate processing process at the processing unit designated in the first step, and a third step where, when the abnormality is resolved, the controller prepares the schedule so that a post-resolution preliminary processing process and the substrate processing process are performed in that order at the processing unit designated in the first step.
    Type: Grant
    Filed: June 17, 2014
    Date of Patent: September 10, 2019
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Masahiro Yamamoto
  • Patent number: 10407772
    Abstract: A shower head according to an embodiment includes: a mixing chamber mixing a plurality of process gases; a shower plate provided below the mixing chamber, the shower plate including a plurality of longitudinal flow paths and a lateral cooling flow path provided between the longitudinal flow paths, a mixed gas of the process gases flowing through the longitudinal flow paths, a cooling medium flowing through the lateral cooling flow path; and an outer circumferential portion cooling flow path provided around the shower plate.
    Type: Grant
    Filed: November 1, 2016
    Date of Patent: September 10, 2019
    Assignee: NuFlare Technology, Inc.
    Inventors: Takumi Yamada, Yuusuke Sato, Hideshi Takahashi
  • Patent number: 10395904
    Abstract: Plural sensors on an interior surface of a reactor chamber are linked by respective RF communication channels to a hub inside the reactor chamber, which in turn is linked to a process controller outside of the chamber.
    Type: Grant
    Filed: November 21, 2018
    Date of Patent: August 27, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Lawrence Wong, Kartik Ramaswamy, Yang Yang, Steven Lane, Richard Fovell
  • Patent number: 10375763
    Abstract: A processing system includes a thermo viewer 51 which measures a temperature distribution over a top surface of a semiconductor wafer; a temperature measuring device 14 which measures, for each of divided areas of the semiconductor wafer, a temperature of a portion in the divided area; a median value calculating unit 202 which calculates, for each divided area, a median value of a temperature distribution of the divided area, based on the temperature distribution measured by the thermo viewer 51; an offset calculating unit 204 which calculates, for each divided area, a difference between the median value and the temperature of the portion as an offset; and a temperature control unit 205 which controls, for each divided area, the temperature of the divided area such that the median value becomes equal to a set temperature, based on the offset and the temperature measured by the temperature measuring device 14.
    Type: Grant
    Filed: April 5, 2016
    Date of Patent: August 6, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Hayashi, Masato Takayama
  • Patent number: 10346740
    Abstract: Methods and systems for training a neural network are provided. One system includes one or more components executed by one or more computer subsystems. The one or more components include a neural network configured for determining inverted features of input images in a training set for a specimen input to the neural network, a forward physical model configured for reconstructing the input images from the inverted features thereby generating a set of output images corresponding to the input images in the training set, and a residue layer configured for determining differences between the input images in the training set and their corresponding output images in the set. The one or more computer subsystems are configured for altering one or more parameters of the neural network based on the determined differences thereby training the neural network.
    Type: Grant
    Filed: May 31, 2017
    Date of Patent: July 9, 2019
    Assignee: KLA-Tencor Corp.
    Inventors: Jing Zhang, Kris Bhaskar
  • Patent number: 10332781
    Abstract: Systems and methods for processing semiconductor structures are provided. The methods generally include determining a desired removal map profile for a device layer of a semiconductor structure, determining a set of process parameters for use in an epitaxial smoothing process based on the desired removal map profile, and selectively removing material from the device layer by performing an epitaxial smoothing process on an outer surface of the device layer.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: June 25, 2019
    Assignee: GlobalWafers Co., Ltd.
    Inventor: Charles Robert Lottes
  • Patent number: 10269566
    Abstract: Methods of and apparatuses for processing substrates having carbon-containing material using atomic layer deposition and selective deposition are provided. Methods involve exposing a carbon-containing material on a substrate to an oxidant and igniting a first plasma at a first bias power to modify a surface of the substrate and exposing the modified surface to an inert plasma at a second bias power to remove the modified surface. Methods also involve selectively depositing a second carbon-containing material onto the substrate. ALE and selective deposition may be performed without breaking vacuum.
    Type: Grant
    Filed: April 21, 2017
    Date of Patent: April 23, 2019
    Assignee: Lam Research Corporation
    Inventors: Samantha Tan, Jengyi Yu, Richard Wise, Nader Shamma, Yang Pan
  • Patent number: 10262842
    Abstract: There is provided a method of analyzing data obtained from an etching apparatus for micromachining a wafer using plasma. This method includes the following steps: acquiring the plasma light-emission data indicating light-emission intensities at a plurality of different wavelengths and times, the plasma light-emission data being measured under a plurality of different etching processing conditions, and being obtained at the time of the etching processing, evaluating the relationship between changes in the etching processing conditions and changes in the light-emission intensities at the plurality of different wavelengths and times with respect to the wavelengths and times of the plasma light-emission data, and identifying the wavelength and the time of the plasma light-emission data based on the evaluation result, the wavelength and the time being to be used for the adjustment of the etching processing condition.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: April 16, 2019
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Ryoji Asakura, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi
  • Patent number: 10263588
    Abstract: A method of manufacturing a piezoelectric vibrator element, a piezoelectric vibrator element, and a piezoelectric vibrator, superior in vibration characteristics, high in quality, and capable of suppressing a variation in frequency after a frequency adjustment, are provided. The method includes a first frequency adjustment step of performing ion milling on a weight metal film for a frequency adjustment formed on a surface of a tip part in each of a pair of vibrating arm parts, and a second frequency adjustment step of performing ion milling on the weight metal film at a lower etch rate than in the first frequency adjustment step after the first frequency adjustment step.
    Type: Grant
    Filed: May 17, 2016
    Date of Patent: April 16, 2019
    Assignee: SII CRYSTAL TECHNOLOGY INC.
    Inventor: Takashi Kobayashi
  • Patent number: 10246782
    Abstract: A method for etching a workpiece may be provided, which may include: determining a plurality of reference etch profiles for a plurality of positions of an etchant dispenser, each reference etch profile corresponding to a respective position of the plurality of positions of the etchant dispenser; determining a thickness profile of the workpiece; determining a respective etch duration for each position of the plurality of positions of the etchant dispenser based on the determined thickness profile and the plurality of reference etch profiles, to reduce a total thickness variation of the workpiece; and dispensing an etchant over the workpiece via the etchant dispenser for the determined respective etch duration for each position of the plurality of positions.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: April 2, 2019
    Assignee: INFINEON TECHNOLOGIES AG
    Inventors: Karl Pilch, Sonja Muringer
  • Patent number: 10236163
    Abstract: Techniques for providing generation of a microplasma around a semiconductor device die or package through the use of an anode-cathode geometry that allows for microplasmas to be created at low voltage. The geometry and cathode materials cause the cathode to emit electrons through field emission. These field emission electrons result in significantly more electrons available for the generation of the microplasma than would be present due to the ambient fluid alone. Thus, the ignition and maintenance of the microplasma occurs at a lower voltage than typical for a fluid at that pressure and the distances involved.
    Type: Grant
    Filed: December 4, 2017
    Date of Patent: March 19, 2019
    Assignee: NXP USA, Inc.
    Inventor: Andrew Paul Dickens
  • Patent number: 10215704
    Abstract: Described herein are technologies to facilitate computed tomographic techniques to help identifying chemical species during plasma processing of a substrate (e.g., semiconductor wafer) using optical emission spectroscopy (OES). More particularly, the technology described herein uses topographic techniques to spatially resolves emissions and absorptions in at least two-dimension space above the substrate during the plasma processing (e.g., etching) of the substrate. With some implementations utilize optical detectors positioned along multiple axes (e.g., two or more) to receive incident incoming optical spectra from the plasma chamber during the plasma processing (e.g., etching) of the substrate. Because of the multi-axes arrangement, the incident incoming optical spectra form an intersecting grid.
    Type: Grant
    Filed: March 2, 2017
    Date of Patent: February 26, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Taejoon Han, Daniel Morvay, Mirko Vukovic
  • Patent number: 10202271
    Abstract: Fuel management systems for a fuel dispensing facility including a fuel delivery system are disclosed. The fuel delivery system includes at least one storage tank configured to contain a fuel, at least one dispenser configured to receive the fuel from the at least one storage tank, and a fuel handling system which is configured to one of (1) deliver the fuel to the at least one storage tank, (2) receive the fuel from the at least one storage tank, (3) monitor for a leak within the fuel delivery system, and (4) monitor for a fuel inventory within the fuel delivery system. The fuel management system may include installation records of the fuel handling components. The fuel management system may monitor cameras positioned in sumps of the fuel delivery system. The fuel delivery system may include a camera positioned to monitor an interior of a sump, the interior of the sump being provided by a sump basin and a sump cover. The sump cover may be a sump lid. The sump cover may be a dispenser.
    Type: Grant
    Filed: August 11, 2015
    Date of Patent: February 12, 2019
    Assignee: Franklin Fueling Systems, LLC
    Inventors: Jay Jerard Walsh, Lisa Marie O'Leary, Bill Nelson
  • Patent number: 10170275
    Abstract: Surface modification of a cryogenic specimen can be obtained using a charged particle microscope. A specimen is situated in a vacuum chamber on a specimen holder and maintained at a cryogenic temperature. The vacuum chamber is evacuated and a charged-particle beam is directed to a portion of the specimen so as to modify a surface thereof. A thin film monitor is situated in the vacuum chamber and has at least a detection surface maintained at a cryogenic temperature. A precipitation rate of frozen condensate in the vacuum chamber is measured using the thin film monitor, and based on the measured precipitation rate, the surface modification is initiated when the precipitation rate is less than a first pre-defined threshold, or interrupted if the precipitation rate rises above a second pre-defined threshold.
    Type: Grant
    Filed: October 18, 2017
    Date of Patent: January 1, 2019
    Assignee: FEI Company
    Inventors: John Mitchels, Tomá{hacek over (s)} Vystav{hacek over (e)}l, Martin Cafourek
  • Patent number: 10153217
    Abstract: A plasma processing apparatus including a processing chamber, a radio frequency power source, a monitoring unit, and a calculation unit is provided. In the processing chamber, etching target film is etched by using plasma. The radio frequency power source supplies radio frequency electric power. The monitoring unit monitors light emission of the plasma. The calculation unit estimates an etching amount of plasma etching of the etching target film based on an emission intensity and a correlation between the etching amount of the etching target film and the emission intensity, the emission intensity being obtained when removing, by using the plasma, a deposition film deposited as a result of the plasma etching.
    Type: Grant
    Filed: February 20, 2017
    Date of Patent: December 11, 2018
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Daisuke Shiraishi, Akira Kagoshima, Yuji Nagatani, Satomi Inoue
  • Patent number: 10147628
    Abstract: An electrostatic chuck includes a base plate including a penetration hole, a cylindrical insulating component inserted in the penetration hole, the cylindrical insulating component including a protruding portion protruding from an upper end of the penetration hole, a placing table arranged on the base plate, a dent portion formed in a lower face of the placing table, the dent portion in which the protruding portion of the cylindrical insulating component is fitted, a concave portion formed in the dent portion of the placing table, an electrode formed in the concave portion of the placing table, and a power feeding terminal arranged in an inner part of the cylindrical insulating component, the power feeding terminal connected to the electrode.
    Type: Grant
    Filed: May 21, 2015
    Date of Patent: December 4, 2018
    Assignee: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventors: Norio Shiraiwa, Jiro Kawai
  • Patent number: 10141166
    Abstract: Plural sensors on an interior surface of a reactor chamber are linked by respective RF communication channels to a hub inside the reactor chamber, which in turn is linked to a process controller outside of the chamber.
    Type: Grant
    Filed: August 15, 2014
    Date of Patent: November 27, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Lawrence Wong, Kartik Ramaswamy, Yang Yang, Steven Lane, Richard Fovell
  • Patent number: 10101150
    Abstract: The objective of the present invention is to provide a height measurement device capable of highly accurate measurement in the depth direction of a structure on a sample. To achieve this objective, proposed are a charged particle beam device and a height measurement device that is provided with a calculation device for determining the size of a structure on a sample on the basis of a detection signal obtained by irradiating the sample with a charged particle beam, wherein the calculation device calculates the distance from a first charged particle beam irradiation mark formed at a first height on the sample and a second charged particle beam irradiation mark formed at a second height on the sample and on the basis of this distance and the charged particle beam irradiation angle when the first charged particle beam irradiation mark and second charged particle beam irradiation mark were formed, calculates the distance between the first height and the second height.
    Type: Grant
    Filed: December 10, 2014
    Date of Patent: October 16, 2018
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroki Kawada, Muneyuki Fukuda, Yoshinori Momonoi, Shou Takami
  • Patent number: 10020200
    Abstract: Methods and systems for direct atomic layer etching and deposition on or in a substrate using charged particle beams. Electrostatically-deflected charged particle beam columns can be targeted in direct dependence on the design layout database to perform atomic layer etch and atomic layer deposition, expressing pattern with selected 3D-structure. Reducing the number of process steps in patterned atomic layer etch and deposition reduces manufacturing cycle time and increases yield by lowering the probability of defect introduction. Local gas and photon injectors and detectors are local to corresponding columns, and support superior, highly-configurable process execution and control.
    Type: Grant
    Filed: December 11, 2015
    Date of Patent: July 10, 2018
    Inventors: Kevin M. Monahan, Theodore A. Prescop, Michael C. Smayling, David K. Lam
  • Patent number: 10008564
    Abstract: Embodiments of the invention describe a method of corner rounding and trimming of nanowires used in semiconductor devices. According to one embodiment, the method includes providing in a process chamber a plurality of nanowires separated from each other by a void, where the plurality of nanowires have a height and at least substantially right angle corners, forming an oxidized surface layer on the plurality of nanowires using an oxidizing microwave plasma, removing the oxidized surface layer to trim the height and round the corners of the plurality of nanowires, and repeating the forming and removing at least once until the plurality of nanowires have a desired trimmed height and rounded corners.
    Type: Grant
    Filed: November 3, 2016
    Date of Patent: June 26, 2018
    Assignee: Tokyo Electron Limited
    Inventors: Kandabara N. Tapily, Ying Trickett, Chihiro Tamura, Cory Wajda, Gerrit J. Leusink, Kaoru Maekawa
  • Patent number: 10002899
    Abstract: An image sensor for high angular response discrimination is provided. A plurality of pixels comprises a phase detection autofocus (PDAF) pixel and an image capture pixel. Pixel sensors of the pixels are arranged in a semiconductor substrate. A grid structure is arranged over the semiconductor substrate, laterally surrounding color filters of the pixels. Microlenses of the pixels are arranged over the grid structure, and comprise a PDAF microlens of the PDAF pixel and an image capture microlens of the image capture pixel. The PDAF microlens comprises a larger optical power than the image capture microlens, or comprises a location or shape so a PDAF receiving surface of the PDAF pixel has an asymmetric profile. A method for manufacturing the image sensor is also provided.
    Type: Grant
    Filed: September 16, 2015
    Date of Patent: June 19, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Keng-Yu Chou, Chien-Hsien Tseng, Wei-Chieh Chiang, Wen-I Hsu, Yuichiro Yamashita
  • Patent number: 10001760
    Abstract: Described is a system for generating an adaptable predictive model of the dynamics of a complex system, such as a vehicle. A set of current sensory data describing the vehicle's current state is collected from the vehicle. A set of predictive models is evaluated to identify a predictive model that best matches the vehicle's current state. If a predictive model is identified as a match, then the predictive model is adapted to better fit the vehicle's current state, resulting in an adapted predictive model. If a match is not identified, then a new predictive model is generated through automatic model learning using the current set of sensory data. The adapted predictive model or the new predictive model is then used to generate control outputs for the vehicle.
    Type: Grant
    Filed: June 23, 2015
    Date of Patent: June 19, 2018
    Assignee: HRL Laboratories, LLC
    Inventors: Heiko Hoffmann, David W. Payton
  • Patent number: 9997325
    Abstract: The present invention is directed to a gas line electron beam exciter, gas line electron beam excitation system and method for exciting a gas using an electron beam exciter. The electron beam exciter generally comprises a variable density electron source for generating a cloud of electrons in an electron chamber and a variable energy electron extractor for accelerating electrons from the electron chamber as an electron beam and into an effluent stream for fluorescing species in the effluent. The electron density of the electron beam is variably controlled by adjusting the excitation power applied to the variable density electron source. The electrons in the electron chamber reside at a reference electrical potential of the chamber, typically near ground electrical potential.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: June 12, 2018
    Assignees: Verity Instruments, Inc., Board of Regents, The University of Texas System
    Inventors: Jimmy W. Hosch, Matthew J. Goeckner, Mike Whelan, Andrew Weeks Kueny, Kenneth C. Harvey, P.L. Stephan Thamban
  • Patent number: 9841680
    Abstract: A source collector apparatus for use in a lithographic apparatus includes a fuel droplet generator configured in use to generate a stream of fuel droplets directed from an outlet of the fuel droplet generator towards a plasma formation location. In order to prevent droplet satellites from interfering with plasma formation, a gas supply is provided that in use provides a flow of gas (e.g., hydrogen) that deflects any droplet satellites out of the fuel droplet stream. Additionally, a detection apparatus may be provided as part of a shroud to determine the point at which coalescence of fuel droplets occurs thereby providing an indication of the likelihood of satellite droplets being present in the fuel droplet stream.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: December 12, 2017
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Niek Antonius Jacobus Maria Kleemans, Denis Alexandrovich Glushkov, Ronald Johannes Hultermans, Benedictus Mathijs Renkens, Gerardus Hubertus Petrus Maria Swinkels, Christiaan Johannes Petrus Verspeek
  • Patent number: 9791549
    Abstract: In a method for operating a radar sensor, the unambiguousness range of the radar sensor is increased with respect to the range and/or the relative velocity by: transmitting multiple ramp sets by the radar sensor, the frequency ramps of the individual ramp sets each differing in one system parameter; adapting the sampling frequency during the detection of the radar echoes in such a way that a constant number of samples always results for each frequency ramp; and, to evaluate the radar signals, the spectra are periodically continued and compared to each other.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: October 17, 2017
    Assignee: ROBERT BOSCH GMBH
    Inventors: Jingying Bi, Goetz Kuehnle
  • Patent number: 9685297
    Abstract: Systems, methods and apparatus for regulating ion energies in a plasma chamber and chucking a substrate to a substrate support are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function (or a modified periodic voltage function) to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a defined distribution of energies of ions at the surface of the substrate so as to effectuate the defined distribution of ion energies on a time-averaged basis.
    Type: Grant
    Filed: August 28, 2012
    Date of Patent: June 20, 2017
    Assignee: ADVANCED ENERGY INDUSTRIES, INC.
    Inventors: Daniel Carter, Victor Brouk, Daniel J. Hoffman
  • Patent number: 9673062
    Abstract: To provide a plasma processing device, a plasma processing method and a method of manufacturing electronic devices capable of performing high-speed processing as well as using the plasma stably. In an inductively-coupled plasma torch unit, a coil, a first ceramic block and a second ceramic block are arranged in parallel, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. A discharge suppression gas is introduced into a space between the inductively-coupled plasma torch unit and the substrate inside the chamber through a discharge suppression gas supply hole, thereby generating long plasma stably.
    Type: Grant
    Filed: March 9, 2017
    Date of Patent: June 6, 2017
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventor: Tomohiro Okumura
  • Patent number: 9627183
    Abstract: To provide a plasma processing device, a plasma processing method and a method of manufacturing electronic devices capable of performing high-speed processing as well as using the plasma stably. In an inductively-coupled plasma torch unit, a coil, a first ceramic block and a second ceramic block are arranged in parallel, and a long chamber has an annular shape. Plasma generated in the chamber is ejected from an opening in the chamber toward a substrate. The substrate is processed by moving the long chamber and the substrate mounting table relatively in a direction perpendicular to a longitudinal direction of the opening. A discharge suppression gas is introduced into a space between the inductively-coupled plasma torch unit and the substrate inside the chamber through a discharge suppression gas supply hole, thereby generating long plasma stably.
    Type: Grant
    Filed: July 25, 2014
    Date of Patent: April 18, 2017
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventor: Tomohiro Okumura
  • Patent number: 9583318
    Abstract: There is provided an apparatus of performing a plasma process on substrates mounted on an upper surface of a rotary table. The apparatus includes: a heater for heating the substrates; a process gas supply part for supplying a process gas toward the upper surface of the rotary table; an antenna for generating an inductively coupled plasma by converting the process gas to plasma; a light detection part for detecting respective light intensities of R, G and B component as light color components; a calculation part for obtaining an evaluation value corresponding to a change amount before and after supplying a high-frequency power to the antenna, with respect to at least one of the respective light intensities; and an ignition determination part for comparing the evaluation value with a threshold value and to determine that ignition of plasma is not generated if the evaluation value does not exceed the threshold value.
    Type: Grant
    Filed: March 25, 2016
    Date of Patent: February 28, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shigehiro Miura, Takeshi Kobayashi, Katsuaki Sugawara, Naohide Ito
  • Patent number: 9576810
    Abstract: An apparatus configured to remove metal etch byproducts from the surface of substrates and from the interior of a substrate processing chamber. A plasma is used in combination with a solid state light source, such as an LED, to desorb metal etch byproducts. The desorbed byproducts may then be removed from the chamber.
    Type: Grant
    Filed: May 29, 2014
    Date of Patent: February 21, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Subhash Deshmukh, Joseph Johnson, Jingjing Liu, He Ren
  • Patent number: 9428375
    Abstract: A method and apparatus are provided for monitoring a fuel delivery system to limit acidic corrosion. An exemplary monitoring system includes a controller, at least one monitor, and an output. The monitoring system may collect and analyze data indicative of a corrosive environment in the fuel delivery system. The monitoring system may also automatically warn an operator of the fueling station of the corrosive environment so that the operator can take preventative or corrective action.
    Type: Grant
    Filed: August 13, 2013
    Date of Patent: August 30, 2016
    Assignee: Franklin Fueling Systems, Inc.
    Inventors: Lorraine Vander Wielen Sabo, William Nelson
  • Patent number: 9420639
    Abstract: Embodiments involve smart device fabrication for semiconductor processing tools via precision patterning. In one embodiment, a method of manufacturing a semiconductor processing tool component includes providing a substrate of the semiconductor processing tool component, patterning the substrate to form a sensor directly on the substrate, and depositing a top layer over the sensor. The sensor may include, for example, a temperature or strain sensor. The method can also include patterning the substrate to form one or more of: heaters, thermistors, and electrodes on the substrate. In one embodiment, the method involves patterning a surface of the component oriented towards a plasma region inside of the semiconductor processing tool.
    Type: Grant
    Filed: November 11, 2013
    Date of Patent: August 16, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Jennifer Sun, Yikai Chen, Biraja Kanungo, Vahid Firouzdor
  • Patent number: 9412607
    Abstract: An isotropic etching process can be performed with high uniformity. A plasma etching method of etching an etching target layer containing silicon includes preparing a processing target object having the etching target layer in a processing chamber; removing an oxide film on a surface of the etching target layer by generating plasma of a first processing gas that contains a fluorocarbon gas or a fluorohydrocarbon gas but does not contain oxygen; removing a carbon-based reaction product generated when the removing of the oxide film by generating plasma of a second processing gas that does not contain oxygen; and etching the etching target layer without applying a high frequency bias power to a lower electrode serving as a mounting table configured to mount the processing target object thereon by generating plasma of a third processing gas containing a fluorocarbon gas or a fluorohydrocarbon gas with a microwave.
    Type: Grant
    Filed: May 7, 2014
    Date of Patent: August 9, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tomiko Kamada, Akinori Kitamura, Hiroto Ohtake, Yutaka Osada, Yuji Otsuka, Masayuki Kohno, Yusuke Takino, Eiji Suzuki
  • Patent number: 9404743
    Abstract: A method is provided for validating measurement data, such as data obtained from a scanning electron microscope using in a semiconductor fabrication facility. The method includes applying a signal on a material feature by using a source in a measurement tool having a tool setting parameter, collecting a response signal from the material feature by using a detector in the measurement tool to obtain the measurement data, calculating a simulated response signal by a smart, and validating the measurement data by comparing the collected response signal with the simulated response signal. The system also includes a design database having a design feature, a measurement tool collecting a response signal, and a smart review engine configured to connect the measurement tool and the design database.
    Type: Grant
    Filed: November 1, 2012
    Date of Patent: August 2, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chui-Jung Chiu, Jen-Chieh Lo, Ying-Chou Cheng, Ru-Gun Liu
  • Patent number: 9394614
    Abstract: A novel method for forming projections and depressions is provided. A novel sealing structure is provided. A novel light-emitting device is provided. A first step of forming a film containing at least two kinds of metals having different etching rates over a surface; a second step of heating the film so that the metal having a lower etching rate segregates; a third step of selectively etching the metal having a higher etching rate; and a fourth step of selectively etching the surface using a residue containing the metal having a lower etching rate are included.
    Type: Grant
    Filed: April 16, 2014
    Date of Patent: July 19, 2016
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Yusuke Nishido
  • Patent number: 9349603
    Abstract: A plasma processing method in which a stable process region can be ensured in a wide range, from low microwave power to high microwave power. The plasma processing method includes making production of plasma easy in a region in which production of plasma by continuous discharge is difficult, and plasma-processing an object to be processed, with the generated plasma, wherein the plasma is produced by pulsed discharge in which ON and OFF are repeated, radio-frequency power for producing the pulsed discharge, during an ON period, is a power to facilitate production of plasma by continuous discharge, and a duty ratio of the pulsed discharge is controlled so that an average power of the radio-frequency power per cycle is power in the region in which production of plasma by continuous discharge is difficult.
    Type: Grant
    Filed: August 6, 2014
    Date of Patent: May 24, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yoshiharu Inoue, Tetsuo Ono, Michikazu Morimoto, Masaki Fujii, Masakazu Miyaji
  • Patent number: 9324588
    Abstract: A stable etching process is realized at an earlier stage by specifying the combination of wavelength and time interval, which exhibits a minimum prediction error of etching processing result within a short period. For this, the combination of wavelength and time interval is generated from wavelength band of plasma emission generated upon etching of the specimen, the prediction error upon prediction of etching process result is calculated with respect to each combination of wavelength and time interval, the wavelength combination is specified based on the calculated prediction error, the prediction error is further calculated by changing the time interval with respect to the specified wavelength combination, and the combination of wavelength and time interval, which exhibits the minimum value of calculated prediction error is selected as the wavelength and the time interval used for predicting the etching processing process.
    Type: Grant
    Filed: February 19, 2015
    Date of Patent: April 26, 2016
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ryoji Asakura, Kenji Tamaki, Akira Kagoshima, Daisuke Shiraishi
  • Patent number: 9316675
    Abstract: A system includes a control module, a detection module, and a reaction module. The control module is configured to receive a sensor signal indicating a power characteristic of an output power provided from a power generator to a load. The load is separate from the control module and the power generator. The detection module is configured to (i) detect a shift parameter of the power characteristic based on the sensor signal, (ii) compare the shift parameter to a first threshold, and (iii) indicate whether the shift parameter has exceeded the first threshold and not a second threshold. The reaction module is configured to indicate that a low-level abnormality exists in the load in response to the shift parameter exceeding the first threshold and not the second threshold.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: April 19, 2016
    Assignee: MKS Instruments, Inc.
    Inventors: Jesse N. Klein, Richard Pham
  • Patent number: 9295107
    Abstract: A capacitor, a coil, a flash lamp, and a switching element such as an IGBT are connected in series. A controller outputs a pulse signal to the gate of the switching element. A waveform setter sets the waveform of the pulse signal, based on the contents of input from an input unit. With electrical charge accumulated in the capacitor, a pulse signal is output to the gate of the switching element so that the flash lamp emits light intermittently. A change in the waveform of the pulse signal applied to the switching element will change the waveform of current flowing through the flash lamp and, accordingly, the form of light emission, thereby resulting in a change in the temperature profile for a semiconductor wafer.
    Type: Grant
    Filed: March 28, 2014
    Date of Patent: March 22, 2016
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Tatsufumi Kusuda
  • Patent number: 9243956
    Abstract: An analysis system (e.g., LIBS) includes a laser source generating a laser beam, a movable optic configured to move said laser beam to multiple locations on a sample, and a spectrometer responsive to photons emitted by the sample at those locations and having an output. A controller is responsive to a trigger signal and is configured in a moving spot cycle to adjust the moveable optic, activate the laser source sequentially generating photons at multiple locations on the sample, and process the spectrometer output at each location.
    Type: Grant
    Filed: January 21, 2013
    Date of Patent: January 26, 2016
    Assignee: SciAps, Inc.
    Inventor: David Day
  • Patent number: 9243319
    Abstract: A chamber monitoring system may include a parallel architecture in which a single sensor control system is coupled to a number of different processing chamber control board sensor lines. In an illustrative embodiment, a single rotation sensor such as a tachometer may reside in a central control unit remote from the processing chambers such that rotation data may be processed by a single system and thereafter routed according to a variety of different network communication protocols to the main system controller, a factory interface, or both. In this and other embodiments, pull-up networks in the central control unit and the chamber control boards are matched so as to reduce electrical signal anomalies such as crowbar effects. The central control unit may be programmed via a main system controller to operate according to user defined parameters, which in turn may enable the system to differentiate between certain operating states.
    Type: Grant
    Filed: February 6, 2012
    Date of Patent: January 26, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Ronald Vern Schauer, Raphael Dascoli, Shivan Bhargava
  • Patent number: 9236255
    Abstract: In some embodiments, a method of forming a three dimensional NAND structure atop a substrate may include providing to a process chamber a substrate having alternating nitride layers and oxide layers or alternating polycrystalline silicon layers and oxide layers formed atop the substrate and a photoresist layer formed atop the alternating layers; etching the photoresist layer to expose at least a portion of the alternating nitride layers and oxide layers or alternating polycrystalline silicon layers and oxide layers; providing a process gas comprising sulfur hexafluoride (SF6), carbon tetrafluoride (CF4), and oxygen (O2) to the process chamber; providing an RF power of about 4 kW to about 6 kW to an RF coil to ignite the process gas to form a plasma; and etching through a desired number of the alternating layers to form a feature of a NAND structure.
    Type: Grant
    Filed: June 24, 2014
    Date of Patent: January 12, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sang Wook Kim, Han Soo Cho, Joo Won Han, Kee Young Cho, Kuan-Ting Liu, Anisul Khan
  • Patent number: 9230782
    Abstract: Plasma processing of plural substrates is performed in a plasma processing apparatus, which is provided with a plasma processing chamber having an antenna electrode and a lower electrode for placing and retaining the plural substrates in turn within the plasma processing chamber, a gas feeder for feeding processing gas into the processing chamber, a vacuum pump for discharging gas from the processing chamber via a vacuum valve, and a solenoid coil for forming a magnetic field within the processing chamber. At least one of the plural substrates is placed on the lower electrode, and the processing gas is fed into the processing chamber. RF power is fed to the antenna electrode via a matching network to produce a plasma within the processing chamber in which a magnetic field has been formed by the solenoid coil. This placing of at least one substrate and this feeding of the processing gas are then repeated until the plasma processing of all of the plural substrates is completed.
    Type: Grant
    Filed: October 7, 2014
    Date of Patent: January 5, 2016
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Eiji Ikegami, Shoji Ikuhara, Takeshi Shimada, Kenichi Kuwabara, Takao Arase, Tsuyoshi Matsumoto
  • Patent number: 9045826
    Abstract: In a thin film deposition apparatus and a thin film deposition method using the same, a first spraying unit and a second spraying unit which are separately driven are prepared, the first spraying unit is driven to sequentially spray a first deposition source and an inert gas onto a substrate, a chamber is exhausted to remove, from the chamber, excess first deposition sources that are not adsorbed onto the substrate from the chamber, a second spraying unit is driven to sequentially spray a second deposition source and an inert gas onto the substrate, and the chamber is exhausted to remove, from the chamber, excess second deposition sources that are not adsorbed onto the substrate. When the thin film deposition method is used, the unintended generation of microparticles during deposition is sufficiently suppressed.
    Type: Grant
    Filed: September 13, 2012
    Date of Patent: June 2, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventors: Sang-Joon Seo, Myung-Soo Huh, Seung-Hun Kim, Jin-Kwang Kim, Cheol-Rae Jo, Choel-Min Jang, Jeong-Ho Yi
  • Patent number: 9038567
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: May 26, 2015
    Assignee: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Publication number: 20150140691
    Abstract: Systems and methods are provided for performing chemical-mechanical planarization on an article. An example system for performing chemical-mechanical planarization on an article includes a polishing head configured to perform a chemical-mechanical planarization (CMP) on an article, a polishing pad configured to support the article, a light source configured to emit an incident light, a polishing fluid including a plurality of emitter particles capable of emitting a fluorescent light in response to the incident light, a fluorescence light detector configured to detect the fluorescent light, and at least one processor configured to control the polishing head based on the detected fluorescent light.
    Type: Application
    Filed: November 19, 2013
    Publication date: May 21, 2015
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: I-SHUO LIU, HUI-CHI HUANG, JUNG-TSAN TSAI, CHIEN-PING LEE