With Measuring, Sensing, Detection Or Process Control Means Patents (Class 156/345.24)
  • Publication number: 20150136324
    Abstract: In an embodiment, there is provided an imprint lithography method that includes providing a first amount of imprintable medium on a first area of a substrate, the first amount of imprintable medium, when fixed, having a first etch rate; and providing a second amount of imprintable medium on a second, different area of the substrate, the second amount of imprintable medium, when fixed, having a second, different etch rate.
    Type: Application
    Filed: January 26, 2015
    Publication date: May 21, 2015
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Sander Frederik WUISTER, Vadim Yevgenyevich Banine, Johan Frederik Dijksman, Yvonne Wendela Kruijt-Stegeman, Jeroen Herman Lammers, Roelof Koole
  • Publication number: 20150140822
    Abstract: In one embodiment of the present invention, there is provided a method for etching a multilayer film formed by laminating a plurality of alternating layers of a first layer having a first dielectric constant and a second layer having a second dielectric constant. This method includes (a) a multilayer film etching step, in which an etchant gas is supplied into a processing chamber and a microwave is supplied into the processing chamber to excite a plasma of the etchant gas; and (b) a resist mask reducing step in which an oxygen-containing gas and a fluorocarbon-based gas are supplied to the processing chamber and a microwave is supplied into the processing chamber to excite a plasma of the oxygen-containing gas and the fluorocarbon-based gas. In this method, the steps (a) and (b) are alternately repeated.
    Type: Application
    Filed: January 23, 2015
    Publication date: May 21, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shota YOSHIMURA, Eiji SUZUKI, Tomiko KAMADA, Hiroto OHTAKE
  • Publication number: 20150132967
    Abstract: A method of processing a substrate using a substrate processing apparatus that has an electrostatic chuck including an insulating member inside which an electrode is included and provides a plasma process to a substrate mounted on the electrostatic chuck includes a first process of supplying a heat transfer gas having a second gas pressure to a back surface of the substrate while eliminating electric charges in the substrate using plasma of a process gas having a first gas pressure.
    Type: Application
    Filed: October 31, 2014
    Publication date: May 14, 2015
    Inventors: Masafumi URAKAWA, Rui TAKAHASHI, Masahiro OGASAWARA
  • Patent number: 9029728
    Abstract: A sensor apparatus for measuring a plasma process parameter for processing a workpiece. The sensor apparatus includes a base, an information processor supported on or in the base, and at least one sensor supported on or in the base. The at least one sensor includes at least one sensing element configured for measuring an electrical property of a plasma and may include a transducer coupled to the at least one sensing element. The transducer can be configured to receive a signal from the sensing element and convert the signal into a second signal for input to the information processor.
    Type: Grant
    Filed: April 14, 2014
    Date of Patent: May 12, 2015
    Assignee: KLA-TENCOR Corporation
    Inventors: Randall S. Mundt, Paul Douglas MacDonald, Andrew Beers, Mason L. Freed, Costas J. Spanos
  • Publication number: 20150118858
    Abstract: In one embodiment of the present invention, an etching method for a substrate to be processed comprises: (a1) a step in which etchant gas is supplied into a processing container than accommodates a substrate to be processed; (b1) a step in which the inside of the processing container is evacuated; (c1) a step in which a noble gas is supplied into the processing container; and (d1) a step in which microwaves are supplied into the processing container so as to excite the plasma of the noble gas inside the processing container. The sequential process including the step of supplying the etchant of supplying the etchant gas, the evacuating step, the step of supplying the noble gas, and the step of exciting the plasma of the noble gas may be repeated.
    Type: Application
    Filed: April 10, 2013
    Publication date: April 30, 2015
    Inventor: Hiroyuki Takaba
  • Publication number: 20150118859
    Abstract: A metal-containing deposit can be efficiently removed. A plasma processing method includes removing a deposit, which adheres to a member within a processing vessel and contains at least one of a transition metal and a base metal, by plasma of a processing gas containing a CxFy gas, in which x is an integer equal to or less than 2 and y is an integer equal to or less than 6, and without containing a chlorine-based gas and a nitrogen-based gas.
    Type: Application
    Filed: October 23, 2014
    Publication date: April 30, 2015
    Inventors: Masaru NISHINO, Takao FUNAKUBO, Shinichi KOZUKA, Ryosuke NIITSUMA, Tsutomu ITO
  • Patent number: 9017513
    Abstract: A plasma processing chamber is provided comprising one or more process gas inlets, one or more exhaust gas outlets, plasma generating hardware configured to generate a process gas plasma in a plasma processing portion of the plasma processing chamber, a wafer processing stage positioned in the plasma processing chamber, and a plasma monitoring probe assembly. The plasma monitoring probe assembly comprises an electrically conductive probe and an insulator sleeve assembly positioned about the electrically conductive probe. The insulator sleeve assembly comprises a plasma-side sleeve portion and a subterranean sleeve portion positioned about distinct portions of a longitudinal probe axis of the electrically conductive probe of the probe assembly.
    Type: Grant
    Filed: November 7, 2012
    Date of Patent: April 28, 2015
    Assignee: Lam Research Corporation
    Inventor: Simon Gosselin
  • Publication number: 20150107618
    Abstract: A gas comprising oxygen is supplied to a plasma source. A plasma jet comprising oxygen plasma particles is generated from the gas. A contaminant is removed from the component using the oxygen plasma particles.
    Type: Application
    Filed: October 21, 2013
    Publication date: April 23, 2015
    Applicant: Applied Materials, Inc.
    Inventors: Jennifer Y. Sun, Vahid Firouzdor, Tom K. Cho, Ying Zhang
  • Patent number: 9011636
    Abstract: A controller 90 of an automatic matching unit includes a first and a second matching control unit 100, 102 for respectively variably controlling the electrostatic capacitances of a first and a second variable capacitors 80, 82 through a first and a second stepping motor 86, 88 such that a measured absolute value ZMm and a measured phase Z?m of a load impedance obtained by an impedance measuring unit 84 become close to a predetermined reference absolute value ZMs and a predetermined reference phase Z?s, respectively; and a gain control unit 112. The gain control unit 112 variably controls a proportional gain of at least one of the first and the second matching unit based on current electrostatic capacitances NC1 and NC2 of the first and the second variable capacitors 80, 82 obtained by a first and a second electrostatic capacitance monitoring unit 108, 110, respectively.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: April 21, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Mitsutoshi Ashida
  • Publication number: 20150099314
    Abstract: Etch rate distribution non-uniformities are predicted for a succession of hardware tilt angles of the RF source applicator relative to the workpiece, and the behavior is modeled as a non-uniformity function for each one of at least two plasma reactors. An offset ?? in tilt angle ? between the non-uniformity functions of the two plasma reactors is detected. The two reactors are then matched by performing a hardware tilt in one of them through a tilt angle equal to the offset ??.
    Type: Application
    Filed: October 28, 2013
    Publication date: April 9, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Gaurav Saraf, Xiawan Yang, Farid Abooameri, Wen Teh Chang, Anisul H. Khan, Bradley Scott Hersch
  • Publication number: 20150099365
    Abstract: A bevel etcher for cleaning a bevel edge of a semiconductor substrate with plasma includes a lower electrode assembly having a lower support having a cylindrical top portion. An upper dielectric component is disposed above the lower electrode assembly having a cylindrical bottom portion opposing the top portion of the lower support. A tunable upper plasma exclusion zone (PEZ) ring surrounds the bottom portion of the dielectric component, wherein a lower surface of the tunable upper PEZ ring includes an upwardly tapered outer portion extending outwardly from the bottom portion of the upper dielectric component, wherein a vertical height of an adjustable gap between the lower surface of the upper PEZ ring and an upper surface of a substrate supported on the lower support can be increased or decreased such that the extent of the bevel edge of the substrate to be cleaned by the plasma can respectively be adjusted radially inward or radially outward.
    Type: Application
    Filed: October 4, 2013
    Publication date: April 9, 2015
    Applicant: Lam Research Corporation
    Inventors: Jack Chen, Adam Liron, Gregory Sexton
  • Patent number: 8997686
    Abstract: A system for and method of delivering pulses of a desired mass of gas to a tool is described.
    Type: Grant
    Filed: September 29, 2010
    Date of Patent: April 7, 2015
    Assignee: MKS Instruments, Inc.
    Inventor: Junhua Ding
  • Publication number: 20150090690
    Abstract: A fiber-end surface structuring chamber or system having a main body with multiple ports including a fiber-holder port, a process port that is either a stamp/shim holder port or a plasma etching enabler port, an evacuation port, a gas delivery port, and one or more observation ports, where the fiber-end surface structuring system forms structures directly into the end of the fiber to enhance transmission of light over a wide range of wavelengths and increase the laser damage threshold.
    Type: Application
    Filed: September 26, 2014
    Publication date: April 2, 2015
    Inventors: Jasbinder S. Sanghera, Catalin M. Florea, Ishwar D. Aggarwal
  • Patent number: 8992721
    Abstract: A plasma processing apparatus including: a monitor device which monitors a process quantity generated at plasma processing; a monitor value estimation unit which has monitor quantity variation models for storing change of a monitor value of the process quantity in accordance with the number of processed specimens and which estimates a monitor value for a process of a next specimen by referring to the monitor quantity variation models; and a control quantity calculation unit which stores a relation between a control quantity for controlling the process quantity of the vacuum processing device and a monitor value and which calculates the control quantity based on a deviation of the estimated monitor value from a target value to thereby control the process quantity for the process of the next specimen.
    Type: Grant
    Filed: January 29, 2010
    Date of Patent: March 31, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akira Kagoshima, Daisuke Shiraishi, Satomi Inoue, Shigeru Nakamoto, Shoji Ikuhara, Toshihiro Morisawa
  • Publication number: 20150083328
    Abstract: There is provided a method of analyzing data obtained from an etching apparatus for micromachining a wafer using plasma. This method includes the following steps: acquiring the plasma light-emission data indicating light-emission intensities at a plurality of different wavelengths and times, the plasma light-emission data being measured under a plurality of different etching processing conditions, and being obtained at the time of the etching processing, evaluating the relationship between changes in the etching processing conditions and changes in the light-emission intensities at the plurality of different wavelengths and times with respect to the wavelengths and times of the plasma light-emission data, and identifying the wavelength and the time of the plasma light-emission data based on the evaluation result, the wavelength and the time being to be used for the adjustment of the etching processing condition.
    Type: Application
    Filed: June 13, 2014
    Publication date: March 26, 2015
    Inventors: Ryoji ASAKURA, Kenji TAMAKI, Akira KAGOSHIMA, Daisuke SHIRAISHI
  • Publication number: 20150076371
    Abstract: The present disclosure relates to a lithographic tool arrangement for semiconductor workpiece processing. The lithographic tool arrangement groups lithographic tools into clusters, and selectively transfers a semiconductor workpiece between a plurality of lithographic tools of a first type in a first cluster to a plurality of lithographic tools of a second type in a second cluster. The selective transfer is achieved though a transfer assembly, which is coupled to a defect scan tool that identifies defects generated in the lithographic tool of the first type. The disclosed lithographic tool arrangement also utilizes shared structural elements such as a housing assembly, and shared functional elements such as gases and chemicals. The lithographic tool arrangement may consist of baking, coating, exposure, and development units configured to provide a modularization of these various components in order to optimize throughput and efficiency for a given lithographic fabrication process.
    Type: Application
    Filed: November 24, 2014
    Publication date: March 19, 2015
    Inventors: I-Hsiung Huang, Heng-Hsin Liu, Heng-Jen Lee, Chin-Hsiang Lin
  • Publication number: 20150075715
    Abstract: Provided are methods and systems for removing polysilicon on a wafer. A wafer can include a polysilicon layer and an exposed nitride and/or oxide structure. An etchant with a hydrogen-based species, such as hydrogen gas, and a fluorine-based species, such as nitrogen trifluoride, can be introduced. The hydrogen-based species and the fluorine-based species can be activated with a remote plasma source. The layer of polysilicon on the wafer can be removed at a selectivity over the exposed nitride and/or oxide structure that is greater than about 500:1.
    Type: Application
    Filed: November 19, 2014
    Publication date: March 19, 2015
    Inventors: Bayu Thedjoisworo, Jack Kuo, David Cheung, Joon Park
  • Publication number: 20150079701
    Abstract: A manufacturing apparatus includes a chuck for contacting a peripheral portion of a workpiece. The apparatus includes a nozzle to eject a process fluid (liquid or gas) toward a first surface while the workpiece is in contact with the chuck. The apparatus also includes a plate having an opening configured such that a support fluid (liquid or gas) can be ejected toward a second surface of the workpiece while the workpiece is in contact with the chuck. In an example, the support fluid can be used to counteract a displacement of the interior portion in the direction perpendicular to the plane of the workpiece due to, for example, gravity and/or hydrostatic pressure of the process fluid.
    Type: Application
    Filed: February 28, 2014
    Publication date: March 19, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Daisuke YAMASHITA
  • Patent number: 8980044
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck having a surface for holding a workpiece inside the chamber includes inner and outer zone backside gas pressure sources coupled to the electrostatic chuck for applying a thermally conductive gas under respective pressures to respective inner and outer zones of a workpiece-surface interface formed whenever a workpiece is held on the surface, and inner and outer evaporators inside respective inner and outer zones of the electrostatic chuck and a refrigeration loop having respective inner and cuter expansion valves for controlling flow of coolant through the inner and outer evaporators respectively. The reactor further includes inner and outer zone temperature sensors in inner and outer zones of the electrostatic chuck and a thermal model capable of simulating heat transfer through the inner and outer zones, respectively, between the evaporator and the surface based upon measurements from the inner and outer temperature sensors, respectively.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: March 17, 2015
    Assignee: BE Aerospace, Inc.
    Inventors: Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas A. Buchberger, Jr., Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Publication number: 20150072533
    Abstract: Provided is a method of etching a silicon oxide film, which includes supplying a mixture gas of a halogen element-containing gas and a basicity gas onto a surface of the silicon oxide film; modifying the silicon oxide film to produce a reaction product; and heating the reaction product to remove the reaction product. Modifying the silicon oxide film and heating the reaction product are performed using one chamber. In heating the reaction product, the reaction product is selectively heated by a heating unit.
    Type: Application
    Filed: September 8, 2014
    Publication date: March 12, 2015
    Inventors: Yusuke MURAKI, Shigeru KASAI, Tomohiro SUZUKI
  • Publication number: 20150070814
    Abstract: Electrostatic chucks with variable pixelated heating are described. For example, an electrostatic chuck (ESC) includes a ceramic plate having a front surface and a back surface, the front surface for supporting a wafer or substrate. A base is coupled to the back surface of the ceramic plate. A light carrying medium is disposed in the base, the light carrying medium configured to provide pixelated light-based heating capability for the ESC.
    Type: Application
    Filed: November 20, 2013
    Publication date: March 12, 2015
    Inventors: Vijay D. Parkhe, Wendell Boyd, JR.
  • Patent number: 8974628
    Abstract: An optical monitor device of the present microwave plasma etching device has: a monitor head located in a position more radially inward than the edge of a semiconductor wafer W mounted on a susceptor, more radially outward than a coaxial pipe, and above a cover plate; an optical waveguide for monitoring provided vertically below the monitor head, and longitudinally traversing the cooling plate, a dielectric plate, and a dielectric window; and a monitor main body optically connected to the monitor head via an optical fiber.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: March 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Toshihisa Nozawa, Takahiro Senda, Shinya Nishimoto, Munetaka Yamagami, Kazuki Moyama
  • Publication number: 20150064910
    Abstract: A substrate processing method includes supplying onto a substrate a processing liquid which contains a volatile component and forms a film, vaporizing the volatile component in the processing liquid such that the processing liquid solidifies or cures on the substrate and forms a film on the substrate, and supplying onto the film formed on the substrate a removing liquid which removes the processing liquid. The processing liquid is supplied onto the substrate after dry etching or ashing is applied to the substrate.
    Type: Application
    Filed: August 18, 2014
    Publication date: March 5, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Miyako Kaneko, Takehiko Orii, Itaru Kanno
  • Publication number: 20150064923
    Abstract: A plasma processing device includes a processing chamber defining a plasma processing space and a stage for mounting thereon a target substrate in the processing chamber. The plasma processing device further includes a gas supply mechanism for introducing a processing gas into the plasma processing space, a plasma generation mechanism for supplying electromagnetic energy into the plasma processing space, and a control unit configured to, if a command to start a plasma process for the target substrate mounted on a substrate carry-in stage is issued, perform a warm-up process for supplying the processing gas into the plasma processing space by the gas supply mechanism and supplying the electromagnetic energy by the plasma generation mechanism in a state where no target substrate is mounted on the stage.
    Type: Application
    Filed: May 21, 2013
    Publication date: March 5, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Yugo Tomita
  • Publication number: 20150064922
    Abstract: Provided is a method of selectively removing a first region from a workpiece which includes the first region formed of silicon oxide and a second region formed of silicon. The method performs a plurality of sequences. Each sequence includes: forming a denatured region by generating plasma of a processing gas that contains hydrogen, nitrogen, and fluorine within a processing container that accommodates the workpiece so as to denature a portion of the first region, and removing the denatured region within the processing container. In addition, a sequence subsequent to a predetermined number of sequences after a first sequence among the plurality of sequences further includes exposing the workpiece to plasma of a reducing gas which is generated within the processing container, prior to the forming of the denatured region.
    Type: Application
    Filed: August 27, 2014
    Publication date: March 5, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Akinori KITAMURA, Hiroto OHTAKE, Eiji SUZUKI
  • Publication number: 20150059978
    Abstract: Provide an apparatus for selective epitaxial growth. The apparatus for selective epitaxial growth, the apparatus comprising, a process tube comprising an inner tube in which a substrate stack unit for receiving a plurality of substrates is accommodated and an outer tube surrounding the inner tube, a heater assembly disposed to surround the process tube and a side nozzle unit vertically disposed inside the process tube, wherein the side nozzle unit comprises first and second side nozzles for respectively spraying an etching gas and a depo gas for the selective epitaxial growth.
    Type: Application
    Filed: March 26, 2013
    Publication date: March 5, 2015
    Inventors: Yong Sung Park, Sung Kwang Lee, Dong Yeul Kim, Ki Hoon Kim
  • Patent number: 8968512
    Abstract: Provided is a temperature adjusting mechanism, which can keep deviation of a temperature of a portion in contact with the temperature adjusting mechanism to be small by controlling the temperature accurately at a high speed. A semiconductor manufacturing apparatus using such temperature adjusting mechanism is also provided. A cooling jacket (6) is provided with a cooling channel (61), and a heat lane (62). The heat lane (62) is provided with a heat receiving section (63), and a heat dissipating section (64), and seals a two-phase condensable working fluid (hereinafter referred to as the working liquid) in an annular narrow tube alternately folds back and forth therebetween. The heat dissipating section (64) is a portion to be cooled by the cooling channel (61), and the heat receiving section (63) is a portion having a temperature higher than that of the heat dissipating section (64).
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Shinya Nishimoto
  • Publication number: 20150050750
    Abstract: A plasma processing method of etching a multilayered material having a structure where a first magnetic layer 105 and a second magnetic layer 103 are stacked with an insulating layer 104 therebetween is performed by a plasma processing apparatus 10 including a processing chamber 12 where a processing space S is formed; and a gas supply unit 44 of supplying a processing gas into the processing space, and includes a first etching process where the first magnetic layer is etched by supplying a first processing and generating plasma, and the first etching process is stopped on a surface of the insulating layer; and a second etching process where a residue Z is removed by supplying a second processing gas and generating plasma. The first magnetic layer and the second magnetic layer contain CoFeB, the first processing gas contains Cl2, and the second processing gas contains H2.
    Type: Application
    Filed: April 22, 2013
    Publication date: February 19, 2015
    Applicant: Tokyo Electron Limited
    Inventors: Takashi Sone, Daisuke Urayama, Masato Kushibiki, Nao Koizumi, Wataru Kume, Eiichi Nishimura, Fumiko Yamashita
  • Patent number: 8956499
    Abstract: An object is to provide a plasma processing device capable of accurately judging whether or not the proper maintenance time has come which is necessary for maintaining an operation state of a device in the best condition. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber.
    Type: Grant
    Filed: August 21, 2008
    Date of Patent: February 17, 2015
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Publication number: 20150037915
    Abstract: In embodiments, a method of laser scribing a mask disposed over a semiconductor wafer includes determining a height of the semiconductor over which a mask layer is disposed prior to laser scribing the mask layer. In one embodiment the method includes: determining a height of the semiconductor wafer under the mask in a dicing street using an optical sensor and patterning the mask with a laser scribing process. The laser scribing process focuses a scribing laser beam at a plane corresponding to the determined height of the semiconductor wafer in the dicing street. Examples of determining the height of the semiconductor wafer can include directing a laser beam to the dicing street of the semiconductor wafer, which is transmitted through the mask and reflected from the wafer, and identifying an image on a surface of the wafer under the mask with a camera.
    Type: Application
    Filed: September 24, 2013
    Publication date: February 5, 2015
    Inventors: Wei-Sheng LEI, Brad Eaton, Apama IYER, Madhava Rao Yalamanchili, Ajay Kumar
  • Patent number: 8945339
    Abstract: A film formation apparatus includes a gas supply mechanism for supplying an aminosilane-based gas, and a silane-based gas that does not include an amino group. Processes of forming a seed layer on a surface of the insulation film having the opening reaching the conductive substance and on a bottom surface of the opening by supplying the aminosilane-based gas into the process chamber, and forming a silicon film on the seed layer by supplying the silane-based gas that does not include the amino group into the process chamber, are sequentially performed in the process chamber.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: February 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Akinobu Kakimoto, Katsuhiko Komori, Kazuhide Hasebe
  • Publication number: 20150020967
    Abstract: A substrate processing method includes a water removing unit for removing water from a substrate, a silylating agent supplying unit for supplying a silylating agent to the substrate and an etching agent supplying unit for supplying an etching agent to the substrate. A control unit controls the said units to execute a water removing step, a silylating step and an etching step in that order.
    Type: Application
    Filed: September 30, 2014
    Publication date: January 22, 2015
    Inventors: Takashi OTA, Yuya AKANISHI, Akio HASHIZUME
  • Publication number: 20150024594
    Abstract: A semiconductor substrate processing apparatus includes a cooled pin lifter paddle for raising and lowering a semiconductor substrate. The semiconductor substrate processing apparatus comprises a processing chamber in which the semiconductor substrate is processed, a heated pedestal for supporting the semiconductor substrate in the processing chamber, and the cooled pin lifter paddle located below the pedestal. The cooled pin lifter paddle includes a heat shield and at least one flow passage in an outer peripheral portion thereof through which a coolant can be circulated to remove heat absorbed by the heat shield of the cooled pin lifter paddle. The cooled pin lifter paddle is vertically movable such that lift pins on an upper surface of the heat shield travel through corresponding holes in the pedestal and a source of coolant is in flow communication with the at least one flow passage.
    Type: Application
    Filed: July 17, 2013
    Publication date: January 22, 2015
    Inventors: Andreas Fischer, Dean Larson
  • Publication number: 20150020969
    Abstract: A processing chamber and a Faraday shield system for use in a plasma processing chambers are provided. One system includes a disk structure defining a Faraday shield, and the disk structure has a process side and a back side. The disk structure extends between a center region to a periphery region. The disk structure resides within the processing volume. The system also includes a hub having an internal plenum for passing a flow of air received from an input conduit and removing the flow of air from an output conduit. The hub has an interface surface that is coupled to the back side of the disk structure at the center region. A fluid delivery control is coupled to the input conduit of the hub. The fluid delivery control is configured with a flow rate regulator. The regulated air can be amplified or compressed dry air (CDA).
    Type: Application
    Filed: August 23, 2013
    Publication date: January 22, 2015
    Inventors: Saravanapriyan Sriraman, John Drewery, Jon McChesney, Alex Paterson
  • Publication number: 20150024521
    Abstract: There is provided a plasma processing apparatus which compares a plurality of patterns detected using an interference light intensity pattern using a wavelength from at least one preset film of the plurality of film layers as a parameter and an intensity pattern using a wavelength of light from the other film as a parameter and an light intensity pattern from inside the processing chamber which is detected during processing of the film to be processed; and compares a film thickness corresponding to one of the plurality of patterns having a minimum difference obtained by the comparison and a target film thickness; and determines that the thickness of the film to be processed reaches the target film thickness.
    Type: Application
    Filed: February 19, 2014
    Publication date: January 22, 2015
    Inventors: Kousuke Fukuchi, Shigeru Nakamoto, Tatehito Usui, Satomi Inoue, Kousa Hirota
  • Publication number: 20150021294
    Abstract: A plasma processing apparatus includes: a detector configured to detect a change in an intensity of light emission from plasma formed inside a processing chamber; and a unit configured to adjust conditions for forming the plasma or processing a wafer arranged inside the processing chamber using an output from the detector, wherein the detector detects a signal of the intensity of light emission at plural time instants before an arbitrary time instant during processing, and wherein the adjusting unit removes the component of a temporal change of a long cycle of the intensity of light emission from this detected signal and detects the component of a short temporal change of the intensity of light emission, and adjusts the conditions for forming the plasma or processing a wafer arranged inside the processing chamber based on the short temporal change of the detected intensity of light emission.
    Type: Application
    Filed: July 16, 2014
    Publication date: January 22, 2015
    Inventors: Masahito Togami, Tatehito Usui, Kosa Hirota, Satomi Inoue, Shigeru Nakamoto
  • Publication number: 20150024523
    Abstract: A method for producing a radio frequency identification transponder includes providing a conductive sheet covered by a mask layer, processing the mask layer by a laser beam so as to form an exposed portion of the conductive sheet, wherein the processing is carried out after a radio frequency identification chip has been attached to the conductive sheet, and etching the exposed portion so as to form a groove in the conductive sheet, wherein the groove defines an edge of an antenna element of the transponder.
    Type: Application
    Filed: December 29, 2011
    Publication date: January 22, 2015
    Applicant: SMARTRAC IP B.V.
    Inventor: Juhani Virtanen
  • Publication number: 20150013906
    Abstract: A plasma processing system having at least a plasma processing chamber for performing plasma processing of a substrate and utilizing at least a first processing state and a second processing state. Plasma is present above the center region of the substrate during the first processing stale to perform plasma processing of at least the center region during the first processing state. Plasma is absent above the center region of the substrate but present adjacent to the bevel edge region during the second processing state to at least perform plasma processing of the bevel edge region during the second processing state. During the second processing state, the upper electrode is in an RF floating state and the substrate is disposed on the lower electrode surface.
    Type: Application
    Filed: July 15, 2013
    Publication date: January 15, 2015
    Applicant: Lam Research Corporation
    Inventors: Andreas Fischer, John Holland
  • Patent number: 8932430
    Abstract: The present disclosure is directed towards a method and apparatus for generating an abatement plasma downstream of a processing chamber using an RF plasma ignited and sustained with an integrated power oscillator circuit driven by feedback based upon a load of the abatement plasma. In one embodiment, a plasma ashing system includes an abatement system configured to receive an effluent byproduct from an upstream processing chamber containing a workpiece. The effluent byproduct is provided along an exhaust conduit to a downstream afterburner unit having an integrated power oscillator, that relies upon an oscillating circuit operatively coupled to an antenna to ignite the abatement plasma within the exhaust conduit. The antenna, together with the plasma load, form a resonant tank circuit, which provides a feedback that drives operation of the oscillating circuit, thereby allowing the oscillating circuit to vary its output based upon changes in the abatement plasma load.
    Type: Grant
    Filed: May 6, 2011
    Date of Patent: January 13, 2015
    Assignee: Axcelis Technologies, Inc.
    Inventors: Aseem K. Srivastava, William F. DiVergilio
  • Publication number: 20150007858
    Abstract: A substrate cleaning method for removing particles adhered to a substrate includes: acquiring particle information including diameters of the particles adhered to the substrate; controlling, based on the acquired particle information, a factor related to sizes of gas clusters having aggregates of atoms or molecules of a cleaning gas; ejecting the cleaning gas, at a higher pressure than a processing atmosphere where the substrate is provided, to the processing atmosphere and generating the gas clusters by adiabatic expansion; and removing the particles by irradiating the gas clusters in a perpendicular direction to a surface of the substrate. As a result, even if recesses for a circuit pattern are formed on the surface of the substrate, the particles in the recesses can be removed at a high removal rate.
    Type: Application
    Filed: February 25, 2013
    Publication date: January 8, 2015
    Inventors: Jiro Matsuo, Toshio Seki, Takaaki Aoki, Kazuya Dobashi, Kensuke Inai, Misako Saito
  • Publication number: 20150011094
    Abstract: A manufacturing method of a semiconductor manufacturing apparatus is provided for etching a multilayer film having a first film and a second film with differing dielectric constants alternatingly stacked on a substrate, and forming a hole with a predetermined shape in the multilayer film. The manufacturing method includes a first step of etching the multilayer film to a first depth using a gas mixture containing a CF based gas at a first flow rate and a bromine-containing gas, a chloride-containing gas, and/or an iodine-containing gas; a second step of etching the multilayer film to a second depth after the first step using a gas mixture containing the CF based gas at a second flow rate and the bromine-containing gas, the chloride-containing gas, and/or the iodine-containing gas; and a third step for over etching the multilayer film after the second step until the hole reaches a base layer.
    Type: Application
    Filed: February 1, 2013
    Publication date: January 8, 2015
    Inventors: Kazuki Narishige, Takanori Sato, Manabu Sato
  • Publication number: 20150001176
    Abstract: A method and apparatus for altering the orientation of a charged particle beam sample is presented. Embodiments of the method includes providing a first work piece on a sample stage having a sample stage plane, the first work piece including a lamella plane in a first orientation. A sample is milled from the first work piece using an ion beam so that the sample is substantially free from the first work piece. A probe is attached to the sample, the probe including a shaft having a shaft axis, the shaft axis oriented at a shaft angle in relation to the sample stage plane, the shaft angle being non-normal to the sample stage plane. The probe is rotated about the shaft axis through a rotational angle so that the lamella plane is in a second orientation. The sample is attached to or placed on the sample on either the first work piece, the first work piece being the work piece from which the sample was milled, or on a second work piece, the second work piece being a work piece from which the sample was not milled.
    Type: Application
    Filed: June 28, 2013
    Publication date: January 1, 2015
    Inventor: Richard J. Young
  • Publication number: 20150004721
    Abstract: An OES measuring unit outputs a spectroscopically measured value for each step at the end of or immediately after each step. A CD estimating unit obtains an estimated CD value for each step using a CD estimation model and a spectroscopically measured value received from an estimation model storage unit.
    Type: Application
    Filed: January 30, 2013
    Publication date: January 1, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshikazu Akimoto, Hiroshi Kannan
  • Publication number: 20150004795
    Abstract: A groove shape can be improved. A plasma etching method includes plasma-processing a photoresist film that is formed on a mask film and has a preset pattern; exposing an organic film formed under the mask film by etching the mask film with the pattern of the plasma-processed photoresist film; and etching the organic film by plasma of a mixture gas containing O2 (oxygen), COS (carbonyl sulfate) and Cl2 (chlorine).
    Type: Application
    Filed: June 26, 2014
    Publication date: January 1, 2015
    Inventor: Takayuki ISHII
  • Publication number: 20140373868
    Abstract: The invention relates to a vacuum surface cleaning device comprising a gas generation unit, a gas handling unit a plasma generation unit and a sample cleaning unit, wherein the gas generation unit is adapted to generate at least hydrogen and oxygen gases and to supply the said gases into the gas handling unit, wherein the gas handling unit is adapted to retrieve hydrogen and oxygen separately from a gas mixture provided by the gas generation unit, wherein the gas handling unit being further arranged to provide the retrieved gas into the plasma generation unit, wherein the plasma generation unit being adapted to generate a low energetic plasma from the said retrieved gas and to supply radicals and/or ions in the sample cleaning unit and wherein the sample cleaning unit being adapted to expose a sample to the said radicals and/or ions. The invention further relates to a method of cleaning a surface.
    Type: Application
    Filed: December 14, 2012
    Publication date: December 25, 2014
    Inventors: Norbertus Benedictus Koster, Diederik Jan Maas
  • Publication number: 20140373867
    Abstract: A cleaning method, which is performed when using a substrate processing apparatus including at least an electrostatic chuck to receive a substrate and performing a plasma process on the substrate, for removing a deposit containing titanium and attached to the electrostatic chuck, is provided. In the method, the deposit containing titanium is reduced by plasma generated from a first process gas containing a reducing gas. Next, the reduced deposit containing titanium is removed by plasma generated from a second process gas containing a fluorine-based gas. A fluorocarbon based deposit deposited when removing the reduced deposit containing titanium by the plasma generated from the second process gas containing the fluorine-based gas is removed by plasma generated from a third process gas containing oxygen.
    Type: Application
    Filed: June 17, 2014
    Publication date: December 25, 2014
    Inventor: Akitoshi HARADA
  • Patent number: 8911588
    Abstract: Methods and apparatus for modifying RF current path lengths are disclosed. Apparatus includes a plasma processing system having an RF power supply and a lower electrode having a conductive portion. There is included an insulative component disposed in an RF current path between the RF power supply and the conductive portion. There are included a plurality of RF path modifiers disposed within the insulative component, the plurality of RF path modifiers being disposed at different angular positions relative to a reference angle drawn from a center of the insulative component, whereby at least a first one of the plurality of RF path modifiers is electrically connected to the conductive portion and at least a second one of the plurality of the plurality of RF path modifiers is not electrically connected to the conductive portion.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: December 16, 2014
    Assignee: Lam Research Corporation
    Inventors: Sang Ki Nam, Rajinder Dhindsa, Alexei Marakhtanov
  • Patent number: 8911587
    Abstract: An apparatus for etching an etch layer formed on a substrate is provided. A first photoresist (PR) mask with first mask features is provided on the etch layer. The apparatus performs a process for providing a protective coating on the first PR mask. The process includes at least one cycle. Each cycle includes (a) a deposition phase for depositing a deposition layer over the surface of the first mask features using a deposition gas, and (b) a profile shaping phase for shaping the profile of the deposition layer using a profile shaping gas. A liquid PR material is applied over the first PR mask having the protective coating. The PR material is patterned into a second mask features, where the first and second mask features form a second PR mask. The etch layer is etched though the second PR mask.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: December 16, 2014
    Assignee: Lam Research Corporation
    Inventors: Andrew R. Romano, S. M. Reza Sadjadi
  • Publication number: 20140363975
    Abstract: A substrate etching method and a substrate processing device, the substrate etching method includes: S1: placing a substrate to be processed into a reaction chamber; S2: supplying etching gas into the reaction chamber; S3: turning on an excitation power supply to generate plasma in the reaction chamber; S4: turning on a bias power supply to apply bias power to the substrate; S5: turning off the bias power supply, and meanwhile, starting to supply deposition gas into the reaction chamber; S6: stopping supply of the deposition gas into the reaction chamber, and meanwhile, turning on the bias power supply; S7: repeating steps S5-S6, until the etching process is completed. In the whole etching process, the etching operation is always performed, and the deposition operation is performed sometimes.
    Type: Application
    Filed: June 4, 2012
    Publication date: December 11, 2014
    Applicant: Beijing NMC Co., Ltd.
    Inventors: Gang Wei, Chun Wang, Dongsan Li
  • Patent number: 8904957
    Abstract: An etching chamber 1 incorporates a focus ring 9 so as to surround a semiconductor wafer W provided on a lower electrode 4. The plasma processor is provided with an electric potential control DC power supply 33 to control the electric potential of this focus ring 9, and so constituted that the lower electrode 4 is supplied with a DC voltage of, e.g., ?400 to ?600 V to control the electric potential of the focus ring 9. This constitution prevents surface arcing from developing along the surface of a substrate to be processed.
    Type: Grant
    Filed: March 4, 2013
    Date of Patent: December 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Akihiro Kikuchi, Satoshi Kayamori, Shinya Shima, Yuichiro Sakamoto, Kimihiro Higuchi, Kaoru Oohashi, Takehiro Ueda, Munehiro Shibuya, Tadashi Gondai