With Measuring, Sensing, Detection Or Process Control Means Patents (Class 156/345.24)
  • Publication number: 20140357085
    Abstract: Provided is a method of selectively etching a portion of silicon existing on a surface of a substrate to be processed, which includes: loading the substrate to be processed into a chamber; and supplying an FNO gas and an F2 gas that are diluted with an inert gas into the chamber such that the FNO gas and the F2 gas are reacted with the portion of silicon existing on the surface of the substrate to be processed.
    Type: Application
    Filed: May 29, 2014
    Publication date: December 4, 2014
    Applicants: TOKYO ELECTRON LIMITED, L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'EXPLOITATION DES PROCEDES GEORGES CLAUDE
    Inventors: Shuji MORIYA, Atsushi ANDO, Jun SONOBE, Christopher TURPIN
  • Patent number: 8900401
    Abstract: Plasma processing of plural substrates is performed in a plasma processing apparatus, which is provided with a plasma processing chamber having an antenna electrode and a lower electrode for placing and retaining the plural substrates in turn within the plasma processing chamber, a gas feeder for feeding processing gas into the processing chamber, a vacuum pump for discharging gas from the processing chamber via a vacuum valve, and a solenoid coil for forming a magnetic field within the processing chamber. At least one of the plural substrates is placed on the lower electrode, and the processing gas is fed into the processing chamber. RF power is fed to the antenna electrode via a matching network to produce a plasma within the processing chamber in which a magnetic field has been formed by the solenoid coil. This placing of at least one substrate and this feeding of the processing gas are then repeated until the plasma processing of all of the plural substrates is completed.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: December 2, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Eiji Ikegami, Shoji Ikuhara, Takeshi Shimada, Kenichi Kuwabara, Takao Arase, Tsuyoshi Matsumoto
  • Patent number: 8900470
    Abstract: A method for etching a layer is provided. A substrate is provided in a chamber. An etch plasma for etching a layer on the substrate is generated. Light from a first region of the chamber is measured to provide a first signal. Light from a second region of the chamber is measured to provide a second signal. The first signal with the second signal are compared to determine an etch endpoint.
    Type: Grant
    Filed: October 17, 2012
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventor: Evelio Sevillano
  • Publication number: 20140349477
    Abstract: Disclosed herein are methods of filling a 3-D structure of a semiconductor substrate with a tungsten-containing material. The 3-D structure may include sidewalls, a plurality of openings in the sidewalls leading to a plurality of features having a plurality of interior regions. The methods may include depositing a first layer of the tungsten-containing material within the 3-D structure such that the first layer partially fills a plurality of interior regions of the 3-D structure, etching vertically and horizontally after depositing the first layer, and depositing a second layer of the tungsten-containing material within the 3-D structure after the vertical and horizontal etching such that the second layer fills at least a portion of the interior regions left unfilled by the first layer. Also disclosed herein are apparatuses for filling a 3-D structure of a semiconductor substrate with a tungsten-containing material having a controller with instructions for etching vertically and horizontally.
    Type: Application
    Filed: May 22, 2014
    Publication date: November 27, 2014
    Inventors: Anand Chandrashekar, Joydeep Guha, Raashina Humayun, Hua Xiang
  • Publication number: 20140345800
    Abstract: An automated device for plasma surface preparation of a thermoplastic part including a first support mechanism for a thermoplastic part and a second support mechanism for a plasma torch including a rotating cylindrical tip, the first and/or second support mechanism being movable so that the torch and the part have a relative movement enabling the torch to travel over a treatment zone of the part. The device further includes, on the plasma torch, a controller controlling a distance between the tip of the torch and the part. The controller includes a measuring rod positioned axially on the tip of the plasma torch. The device further includes a carriage that can be moved in the direction of the axis of the torch interposed between the movable torch and the second support mechanism. The movable carriage counteracts a displacement about an equilibrium or stop position.
    Type: Application
    Filed: November 30, 2012
    Publication date: November 27, 2014
    Applicant: RENAULT s.a.s.
    Inventors: Jean-Gilles Kerbiguet, Thomas Pollet
  • Patent number: 8893743
    Abstract: The flow rate controller controlling a flow rate of gas supplied through a gas passage includes: a main gas pipe; a flow rate detecting unit detecting the flow rate of gas supplied through the main gas pipe and outputting a flow rate signal; a flow rate control valve mechanism controlling a flow rate; a conversion data storage unit storing a plurality of pieces of conversion data corresponding to a plurality of gaseous species, to indicate a relationship between a flow rate instruction signal input from outside and a target flow rate; and a flow rate control main body which selects the corresponding conversion data from the conversion data based on a gaseous species selection signal input from outside, calculates the target flow rate based on the flow rate instruction signal, and controls the flow rate control valve mechanism based on the target flow rate and the flow rate signal.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: November 25, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Tsuneyuki Okabe, Shuji Moriya, Kazushige Matsuno
  • Patent number: 8888947
    Abstract: By controlling the flow rate of one or more gaseous components of an etch ambient during the formation of metal lines and vias on the basis of feedback measurement data from critical dimensions, process variations may be reduced, thereby enhancing performance and reliability of the respective metallization structure.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: November 18, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Matthias Schaller, Uwe Schulze, Mathias Baranyai
  • Publication number: 20140332498
    Abstract: Provided are a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method. Particularly, there are provided a substrate holder, a substrate supporting apparatus, a substrate processing apparatus, and a substrate processing method that are adapted to improve process efficiency and etch uniformity at the back surface of a substrate.
    Type: Application
    Filed: July 21, 2014
    Publication date: November 13, 2014
    Inventors: Young Ki HAN, Young Soo SEO, Hyoung Won KIM, Chi Kug YOON, Sang Hoon LEE
  • Publication number: 20140332931
    Abstract: Methods, apparatuses and devices related to the manufacturing of compensation devices are provided. In some cases, an n/p-codoped layer is deposited for calibration purposes to minimize a net doping concentration. In other cases, alternatingly n- and p-doped layers are then deposited. In other embodiments, an n/p-codoped layer is deposited in a trench where n- and p-dopants have different diffusion behavior. To obtain different doping profiles, a heat treatment may be performed.
    Type: Application
    Filed: May 10, 2013
    Publication date: November 13, 2014
    Inventors: Anton Mauder, Hans Weber, Klemens Pruegl
  • Patent number: 8882960
    Abstract: An etchant is stored in a treating tank; a glass substrate is transported with transport rollers into the treating tank; the etchant is discharged from below the substrate to raise the substrate to a position above the transport rollers and below the surface of the etchant; the discharge of the etching liquid is stopped and the glass substrate is lowered to a position for contacting the transport rollers; the etchant is drained from the treating tank; and the glass substrate is unloaded with the transport rollers out of the treating tank. The disclosed method and apparatus can treat both front and back surfaces of the substrate uniformly.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: November 11, 2014
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Norio Yoshikawa, Kazuo Jodai, Yukio Tomifuji, Shigeki Minami, Kazuto Ozaki
  • Patent number: 8883024
    Abstract: The invention provide apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDƒ) data and associated (VUV/EEDƒ)-related procedures in (VUV/EEDƒ) etch systems. The (VUV/EEDƒ)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDƒ)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Publication number: 20140329095
    Abstract: The invention relates to an apparatus (1) for producing a reflection-reducing layer on a surface (21) of a plastics substrate (20). The apparatus comprises a first sputtering device (3) for applying a base layer (22) to the surface (21) of the plastics substrate (20), a plasma source (4) for plasma-etching the coated substrate surface (21), and a second sputtering device (5) for applying a protective layer (24) to the substrate surface (21). These processing devices (3, 4, 5) are arranged jointly in a vacuum chamber (2), which has inlets (8) for processing gases. In order to move the substrate (20) between the processing devices (3, 4, 5) in the interior of the vacuum chamber (2), a conveying apparatus (10) is provided which is preferably in the form of a rotary table (11).—Furthermore, the invention relates to a method for producing such a reflection-reducing layer on the surface (21) of the plastics substrate (20).
    Type: Application
    Filed: September 28, 2012
    Publication date: November 6, 2014
    Inventors: Harro Hagedorn, Jurgen Pistner, Michael Klosch-Trageser, Michael Scherer
  • Publication number: 20140327510
    Abstract: An electronic device includes a structure. The structure includes a first set of through glass vias (TGVs) and a second set of TGVs. The first set of TGVs includes a first via and the second set of TGVs includes a second via. The first via has a different cross-sectional shape than the second via.
    Type: Application
    Filed: May 6, 2013
    Publication date: November 6, 2014
    Applicant: QUALCOMM Incorporated
    Inventors: Daeik D. Kim, David F. Berdy, Chengjie Zuo, Mario Francisco Velez, Changhan Yun, Robert P. Mikulka, Jonghae Kim, Je-Hsiung Lan
  • Publication number: 20140327003
    Abstract: A method of processing a plurality of packaged electronic chips being connected to one another in a common substrate is provided, wherein the method comprises etching the electronic chips, detecting information indicative of an at least partial removal of an indicator structure following an exposure of the indicator structure embedded within at least a part of the electronic chips and being exposed after the etching has removed chip material above the indicator structure, and adjusting the processing upon detecting the information indicative of the at least partial removal of the indicator structure.
    Type: Application
    Filed: May 3, 2013
    Publication date: November 6, 2014
    Inventors: Edward FUERGUT, Irmgard Escher-Poeppel, Manfred Engelhardt, Hans-Joerg Timme, Hannes Eder
  • Patent number: 8877080
    Abstract: The invention provides an apparatus and methods for creating gate structures on a substrate in real-time using Vacuum Ultra-Violet (VUV) data and Electron Energy Distribution Function (EEDf) data and associated (VUV/EEDf)-related procedures in (VUV/EEDf) etch systems. The (VUV/EEDf)-related procedures can include multi-layer-multi-step processing sequences and (VUV/EEDf)-related models that can include Multi-Input/Multi-Output (MIMO) models.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: November 4, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Lee Chen, Jianping Zhao
  • Patent number: 8864933
    Abstract: In a substrate treatment method for supplying a coating solution to a substrate with projections and depressions on a front surface thereof to form a coating film on the front surface of the substrate, the coating solution is supplied to the rotating substrate to form a coating film on the front surface of the substrate, and the substrate having the coating film formed thereon is heated to adjust an etching condition of the coating film. Next, the etching solution is supplied to the rotating substrate to etch the coating film, and thereafter the coating solution is supplied to the substrate to form a flat coating film on the front surface of the substrate. Thereafter, the substrate is heated to cure the coating film. This flattens the coating film with uniformity and high accuracy without undergoing a high-load process such as chemical mechanical polishing.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: October 21, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shouichi Terada, Tsuyoshi Mizuno, Takeshi Uehara
  • Patent number: 8865483
    Abstract: Provided is a substrate processing apparatus in which flexibility of disposing a device configured to determine a holding state of a substrate and the flexibility of timing of determining the holding state are enhanced. The substrate processing apparatus includes a light projector configured to radiate detection light toward a region where a substrate may exist when the substrate is held by a substrate holding member and a light receiver configured to receive the detection light radiated from the light projector. A light path of the detection light from the light projector toward the light receiver passes a substrate surrounding member installed around the substrate held by the substrate holding member. The detection light penetrates the substrate surrounding member and has a wavelength which does not penetrate the substrate.
    Type: Grant
    Filed: August 1, 2013
    Date of Patent: October 21, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kazuhiro Aiura, Norihiro Itoh, Yusuke Hashimoto, Takashi Nagai
  • Publication number: 20140305589
    Abstract: Systems and methods for soft pulsing are described. One of the systems includes a master radiofrequency (RF) generator for generating a first portion of a master RF signal during a first state and a second portion of the master RF signal during a second state. The master RF signal is a sinusoidal signal. The system further includes an impedance matching circuit coupled to the master RF generator via an RF cable to modify the master RF signal to generate a modified RF signal and a plasma chamber coupled to the impedance matching circuit via an RF transmission line. The plasma chamber is used for generating plasma based on the modified RF signal.
    Type: Application
    Filed: April 23, 2014
    Publication date: October 16, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventor: John C. Valcore, JR.
  • Publication number: 20140299576
    Abstract: A plasma processing method includes an etching process of etching an insulating film formed on a processing target object in a chamber by plasma of a first fluorine-containing gas with a TiN film having a preset pattern as a mask; a modifying process of modifying, between a carbon-containing film and a Ti-containing film adhering to a component within the chamber, a surface of the Ti-containing film by plasma of an oxygen-containing gas while removing the carbon-containing film by the plasma of the oxygen-containing gas, after the etching process; a first removing process of removing a TiO film, which is obtained by modifying the surface of the Ti-containing film, by plasma of a second fluorine-containing gas; and a second removing process of removing a residual film of the Ti-containing film, which is exposed by removing the TiO film, from the component within the chamber by plasma of a chlorine-containing gas.
    Type: Application
    Filed: April 3, 2014
    Publication date: October 9, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Shunichi MIKAMI
  • Publication number: 20140299577
    Abstract: The invention relates to an apparatus for surface processing on a substrate, for example for applying a coating to the substrate or for removing a coating from the substrate, wherein the apparatus comprises: a chamber enclosing an interior and serving for arranging the substrate for the surface processing, a process gas analyser for detecting at least one gaseous constituent of a residual gas atmosphere formed in the interior, wherein the process gas analyser comprises an ion trap for storing the gaseous constituent to be detected, and an ionization device for ionizing the gaseous constituent. The invention also relates to an associated method for monitoring surface processing on a substrate.
    Type: Application
    Filed: June 23, 2014
    Publication date: October 9, 2014
    Inventors: Hin Yiu Anthony Chung, Michel Aliman, Gennady Fedosenko, Albrecht Ranck, Leonid Gorkhover
  • Patent number: 8851106
    Abstract: A disclosed gas supplying apparatus includes a pressure controller that reduces a primary pressure thereby providing a secondary pressure greater than a process pressure at which a predetermined process is performed and less than the atmospheric pressure in a secondary pipe; a pressure sensor that measures a pressure in the secondary pipe; a first open/close valve provided in the secondary pipe; an open/close valve controller that opens or closes the first open/close valve; a pressure comparator that compares the pressure measured by the pressure sensor in the secondary pipe with a first set pressure that is greater than the process pressure by a predetermined pressure; and a controller that outputs a signal to the open/close valve controller thereby closing the first open/close valve, when the pressure comparator determines that the pressure in the secondary pipe is less than the first set pressure.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Tsuneyuki Okabe
  • Patent number: 8852384
    Abstract: A method for detecting plasma unconfinement in a reaction chamber during a bevel edge cleaning operation is provided. The method initiates with selecting a wavelength associated with expected by products of a bevel edge clean process. The method includes cleaning the bevel edge area of a substrate and monitoring the intensity of the selected wavelengths during the cleaning for deviation from a threshold wavelength intensity. The cleaning is terminated if the deviation from the threshold wavelength intensity exceeds a target deviation.
    Type: Grant
    Filed: August 13, 2012
    Date of Patent: October 7, 2014
    Assignee: Lam Research Corporation
    Inventors: KeeChan Kim, Yunsang Kim, Andrew D. Bailey, III
  • Patent number: 8852967
    Abstract: A multiple channel site-isolated reactor system and method are described. The system contains a reactor block with a plurality of reactors. Input lines are coupled to each reactor to provide a fluid to the respective reactors. A sealing element associated with each reactor contacts a surface of a substrate disposed below the reactor block, which defines isolated regions on the surface of the substrate. A dissolution rate monitor extends into each reactor to monitor a rate of real-time dissolution of one or more layers on the surface of the substrate when it is disposed proximate to the surface of the substrate.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: October 7, 2014
    Assignee: Intermolecular, Inc.
    Inventor: George Mirth
  • Publication number: 20140284307
    Abstract: A focused ion beam system includes a focused ion beam irradiation mechanism which irradiates a sample, on which a protective film is formed, with a focused ion beam from above the sample, a processing control unit which performs a removal process on both sides of a region to be a thin piece portion of the sample by the focused ion beam and sequentially forms observation surfaces parallel to an irradiation direction of the focused ion beam so as to achieve the thin piece portion, and an observation surface image generation unit which generates an observation surface image. The processing control unit terminates the removal process when a height of the protective film in the irradiation direction of the focused ion beam becomes a predetermined threshold value or less in the observation surface image.
    Type: Application
    Filed: March 21, 2014
    Publication date: September 25, 2014
    Applicant: HITACHI HIGH-TECH SCIENCE CORPORATION
    Inventors: Tatsuya ASAHATA, Shota TORIKAWA
  • Publication number: 20140287579
    Abstract: Methods for fabricating dual damascene structures are provided herein. In some embodiments, a method for fabricating a dual damascene interconnect structure may include patterning a first mask layer atop a substrate disposed in a process chamber, wherein the substrate includes one or more low temperature dielectric layers to define a first etch pattern, and wherein the one or more low temperature dielectric layers are formed atop the substrate at a temperature below about 180 degrees Celsius; etching the first etch pattern into the one or more low temperature dielectric layers using an inductively coupled plasma formed by a power source capable of providing power within a range from about 10 watts to about 10,000 watts at a frequency of about 2 MHz to about 13.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 25, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jayagatan Ram Vijayen, Siva Suri Chandra Rao Bhesetti
  • Publication number: 20140261568
    Abstract: The present invention provides a local clean microenvironment near optical surfaces of an extreme ultraviolet (EUV) optical assembly maintained in a vacuum process chamber and configured for EUV lithography, metrology, or inspection. The system includes one or more EUV optical assemblies including at least one optical element with an optical surface, a supply of cleaning gas stored remotely from the one or more optical assemblies and a gas delivery unit comprising: a plenum chamber, one or more gas delivery lines connecting the supply of gas to the plenum chamber, one or more delivery nozzles configured to direct cleaning gas from the plenum chamber to a portion of the EUV assembly, and one or more collection nozzles for removing gas from the EUV optical assembly and the vacuum process chamber.
    Type: Application
    Filed: April 5, 2013
    Publication date: September 18, 2014
    Applicant: KLA-Tencor Corporation
    Inventors: Gildardo Delgado, Francis Chilese, Rudy F. Garcia, Mohammed Tahmassebpur, Salam Harb
  • Publication number: 20140263169
    Abstract: In some embodiments, a method for processing a substrate in a process chamber having a substrate support configured to move in a direction perpendicular to a top surface of a cover ring of a process kit may include positioning the substrate support in a first position such that a top surface of the substrate is positioned about 3 mm above to about 10 mm below a top surface of a cover ring of a process kit disposed about the periphery of the substrate support; performing a plasma deposition process while the substrate support is in the first position; moving the substrate support to a second position such that the top surface of the substrate is disposed about 3 mm below to about 15 mm above the top surface of the cover ring; and performing a plasma etch process while the substrate support is in the second position.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JOUNG JOO LEE, WILLIAM JOHANSON, KEITH A. MILLER, ALAN A. RITCHIE
  • Publication number: 20140273406
    Abstract: Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.
    Type: Application
    Filed: April 8, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Anchuan Wang, Xinglong Chen, Zihui Li, Hiroshi Hamana, Zhijun Chen, Ching-Mei Hsu, Jiayin Huang, Nitin K. Ingle, Dmitry Lubomirsky, Shankar Venkataraman, Randhir Thakur
  • Publication number: 20140262029
    Abstract: An etching apparatus calculates an emission intensity in the vicinity of each of a plurality of wavelengths, at which a specified element should emit light, from information indicating light emission measured by an optical emission spectroscope during etching processing and, if it is determined that the calculated emission intensity information and emission intensity information stored in a storage unit are similar, extracts a wavelength, corresponding to the calculated emission intensity, with the wavelength associated with the element.
    Type: Application
    Filed: September 11, 2013
    Publication date: September 18, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Ryoji ASAKURA, Akira KAGOSHIMA, Daisuke SHIRAISHI, Kenji TAMAKI
  • Publication number: 20140263179
    Abstract: A system includes a tuning element comprising a shaft and a tuning stub. The tuning stub includes a surface with a center point. The shaft is connected to the surface of the tuning stub at a location that is offset from the center point. A waveguide includes an opening into an inner portion of the waveguide. The shaft passes through the opening and the tuning stub is arranged in the inner portion of the waveguide. A first actuator selectively rotates the shaft.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: Lam Research Corporation
    Inventors: Carlo Waldfried, Orlando Escorcia, William Hansen
  • Publication number: 20140273303
    Abstract: The present disclosure provides one embodiment of an etch system. The etch system includes a tank designed to hold an etch solution for etching; a silicon monitor configured to measure silicon concentration of the etch solution; a drain module coupled to the tank and being operable to drain the etch solution; and a supply module being operable to fill in the tank with a fresh etch solution.
    Type: Application
    Filed: March 12, 2014
    Publication date: September 18, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fu-Yi Chang, Yih-Song Chiu, Shao-Yen Ku
  • Publication number: 20140272326
    Abstract: The invention relates to a fabrication apparatus for fabricating a layer structure comprising at least a patterned first layer on a substrate. A layer structure (6) with an unpatterned first layer is provided on the substrate. A protective material application unit (8) applies protective material at least on parts of the provided layer structure for protecting at least the parts of the provided layer structure (6), an ablation unit (12) ablates the unpatterned first layer through the protective material such that the patterned first layer is generated, and the protective material removing unit (15) removes the protective material (9). This allows fabricating a layer structure for, for example, an OLED without necessarily using a technically complex and costly photolithography process. Moreover, ablation debris can be removed with removing the protective material, thereby reducing the probability of unwanted effects like unwanted shortcuts in the OLED caused by unwanted debris.
    Type: Application
    Filed: May 15, 2012
    Publication date: September 18, 2014
    Applicant: KONINKLIJKE PHILIPS N.V.
    Inventors: Christoph Rickers, Pieter Gijsbertus Maria Kruijt
  • Patent number: 8834671
    Abstract: A method and apparatus for controlling a silicon nitride etching bath provides the etching bath including phosphoric acid heated to an elevated temperature. The concentration of silicon in the phosphoric acid is controlled to maintain a desired level associated with a desired silicon nitride/silicon oxide etch selectivity. Silicon concentration is measured while the silicon remains in soluble form and prior to silica precipitation. Responsive to the measuring, fresh heated phosphoric acid is added to the etching bath when necessary to maintain the desired concentration and silicon nitride:silicon oxide etch selectivity and prevent silica precipitation. The addition of fresh heated phosphoric acid enables the etching bath to remain at a steady state temperature. Atomic absorption spectroscopy may be used to monitor the silicon concentration which may be obtained by diluting a sample of phosphoric acid with cold deionized water and measuring before silica precipitation occurs.
    Type: Grant
    Filed: April 1, 2013
    Date of Patent: September 16, 2014
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Zin-Chang Wei, Tsung-Min Huang, Ming-Tsao Chiang, Cheng-Chen Calvin Hsueh
  • Publication number: 20140251947
    Abstract: A method of etching a glass substrate using an etchant that is reversibly activated to etch only in precise locations in which such etching is desired and is deactivated when outside of these locations. The method involves exposing a first side of the glass substrate to a mixture of chemical substances that includes a neutralized etchant that is photosensitive. The neutralized etchant is formed by reacting a neutralizer with an etchant. The method also includes transmitting light from a direction of a second side of the glass into the mixture of chemical substances. In response to exposure to this light, the etchant is reversibly released from a bond to the neutralizer to form the etchant on predetermined areas of the first side of the glass, wherein the predetermined areas are defined by the dimension of the light.
    Type: Application
    Filed: March 10, 2013
    Publication date: September 11, 2014
    Applicant: QUALCOMM INCORPORATED
    Inventors: John H. HONG, Kenji NOMURA, Je-Hsiung LAN
  • Patent number: 8828184
    Abstract: A plasma processing apparatus includes a plasma processing chamber, a process monitor which monitors a condition in the plasma processing chamber, an actuator which controls a parameter constituting a plasma processing condition, N+1 correction amount calculating units which calculate a correction amount of a manipulated variable on the basis of a difference between a process monitor value monitored by the process monitor and a desired value of the process monitor and a correlation between the process monitor value and a manipulated variable, which is the parameter, the correlation having been acquired in advance, and N manipulated variable adding units that add a manipulated variable having a priority level next to an N-th manipulated variable. The N-th manipulated variable adding unit defines a correction amount calculated by the N+1-th correction amount calculating unit as the correction amount of an N+1-th manipulated variable.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: September 9, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akira Kagoshima, Daisuke Shiraishi, Yuji Nagatani
  • Patent number: 8828141
    Abstract: A substrate processing apparatus of the present invention comprises: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; a processing gas supply unit for supplying two or more types of the processing gases to the inside of the processing chamber; an inactive gas supply unit for supplying an inactive gas to the inside of the processing chamber; and an exhaust unit for exhausting an atmosphere of the inside of the processing chamber, wherein the processing gas supply unit has at least two processing gas supply nozzles which extend running along an inner wall of the processing chamber in the stacking direction of the substrates and supply the processing gas to the inside of the processing chamber, and the inactive gas supply unit has a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich at least one processing gas supp
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Patent number: 8821682
    Abstract: Systems and methods of imaging and repairing defects on and below the surface of an integrated circuit (IC) are described. The method may be used in areas as small as one micron in diameter, and may remove the topmost material in the small spot, repeating with various layers, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected surface location. The surface has an added layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, and the energetic beam disassociates the reactive material in the region of the beam into radicals that chemically attack the surface. After the defect location is exposed, the method uses the energetic beam to etch undesired materials, and deposit various appropriate materials to fill gaps, and restore the IC to an operational condition.
    Type: Grant
    Filed: October 1, 2010
    Date of Patent: September 2, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Mark J. Williamson, Gurtej S. Sandhu, Justin R. Arrington
  • Publication number: 20140235056
    Abstract: A system and method of ion milling performed in a plasma etch system including a plasma etch chamber, multiple process gas sources coupled to the plasma etch chamber, a radio frequency bias source and a controller. The plasma etch chamber including a substrate support. The substrate support being a non-pivoting and non-rotating substrate support. The substrate support capable of supporting a substrate to be processed on a top surface of the substrate support without use of a mechanical clamp device. The plasma etch chamber also including an upper electrode disposed opposite from the top surface of the substrate support. The radio frequency bias source is coupled to the substrate support. The controller is coupled to the plasma etch chamber, the multiple process gas sources and the radio frequency bias source. The controller including logic stored on computer readable media for performing an ion milling process in the plasma etch chamber.
    Type: Application
    Filed: February 20, 2013
    Publication date: August 21, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Joydeep Guha, Butsurin Jinnai, Jun Hee Han, Aaron Eppler
  • Publication number: 20140231014
    Abstract: A scope may include an adjustment dial, which may be moved among a plurality of positions to configure the scope to compensate for projectile drops. The adjustment dial may be labeled with dial-calibration data, which may include one or more distance indicators and/or one or more windage hold-off indicators. The scope may be attached to a gun and the dial-calibration data may be at least partially generated using ballistics performance data based on shots fired by the gun. The dial-calibration data may be at least partially generated using shooting conditions. An electronic device may include a derived distance calculation module, which may be configured to use a distance to a target and actual shooting conditions to calculate a derived distance. The derived distance may be used in connection with an adjustment dial labeled with dial-calibration data at least partially generated using shooting conditions different from the actual shooting conditions.
    Type: Application
    Filed: October 18, 2013
    Publication date: August 21, 2014
    Applicant: Huskemaw Optics, LLC
    Inventor: Aaron Davidson
  • Publication number: 20140224767
    Abstract: Methods and systems for adapting and/or tuning feedforward control parameters in a plasma processing chamber. In embodiments, a dependent process parameter, such as a chamber component temperature, is controlled with a feedforward control algorithm based on one or more independent process parameters, such as RF power. A control algorithm may calculate steady-state deviation of the dependent parameter from a process recipe setpoint, estimate an amount by which an existing control gain coefficient is to be changed to better achieve the setpoint, associate the new control gain coefficient with the particular recipe operation, and store the new control gain coefficient for subsequent execution of the recipe operation. In embodiments, the amount by which a gain coefficient is to be changed is based on a model function derived from a lookup table associating gain coefficients with setpoints of the dependent process parameter and values of the independent process parameter.
    Type: Application
    Filed: February 13, 2014
    Publication date: August 14, 2014
    Inventors: Walter R. MERRY, Sergio Fukuda SHOJI, Yang YANG, Duy D. NGUYEN, Justin PHI
  • Patent number: 8801892
    Abstract: Etching a layer over a substrate is provided. The substrate is placed in a plasma processing chamber. A first gas is provided to an inner zone within the plasma processing chamber. A second gas is provided to the outer zone within the plasma processing chamber, where the outer zone surrounds the inner zone and the first gas is different than the second gas. Plasmas are simultaneously generated from the first gas and second gas. The layer is etched, where the layer is etched by the plasmas from the first gas and second gas.
    Type: Grant
    Filed: March 25, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research Corporation
    Inventors: Dean J. Larson, Babak Kadkhodayan, Di Wu, Kenji Takeshita, Bi-Ming Yen, Xingcai Su, William M. Denty, Jr., Peter Loewenhardt
  • Publication number: 20140220709
    Abstract: Exemplary embodiments are directed to controlling CD uniformity of a wafer by controlling trim time on temperature in a plasma processing system. The plasma processing system has a wafer support assembly including a plurality of independently controllable temperature control zones across a chuck and a controller that controls each temperature control zone. The controller receives process control and temperature data associated with at least one wafer previously processed in a plasma chamber of the plasma processing system, and critical device parameters of a current wafer to be processed in the plasma chamber. The controller calculates a target trim time and a target temperature profile of the current wafer based on the process control and temperature data, and the critical device parameters. The current wafer is trimmed during the target trim time while the temperature of each device die location is controlled based on the target temperature profile.
    Type: Application
    Filed: February 4, 2013
    Publication date: August 7, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Yoshie Kimura, Tom Kamp, Eric Pape, Rohit DeshPande, Keith Gaff, Gowri Kamarthy
  • Patent number: 8795434
    Abstract: A method and apparatus for mass production of graphene and carbon tubes is presented. A carbon-containing gas (CCG) inside a set of thin gaps formed by an array of flat plates, or small multiple bores in a cylindrical shell, is maintained under free molecular conditions at all times. A train of intermittent light pulses of a tunable high power laser beam compatible with the CCG's major absorption bands is sent through the CCG inside the gaps, or bores, to cause dissociation of the carbon atoms from the CCG molecules in said molecules' one mean free path of flight and deposition of said atoms onto the adjacent solid surfaces (plate or bore walls) during each pulse, and after a pre-determined number of pulses to form a one-atom-thick layer of hexagonal lattice of carbon atoms. Said carbon atom layers on the flat plate surfaces are graphene, those on the shell bore walls carbon tubes. Large quantity and size, and predicted high quality of products are special features of this method.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: August 5, 2014
    Inventor: Jaw Tian Lin
  • Patent number: 8795433
    Abstract: There is provided a substrate processing apparatus that can easily grasp the relationship of a defect substrate between plural batches. A substrate processing apparatus 10 includes: a display unit 16; a storage unit that accumulates and stores production information of the substrate for each batch, the production information being produced when the substrate is processed; a selection receiving unit that receives the selection of plural batches stored in the storage unit; and a display control unit that controls such that substrate information is displayed on the display part, the substrate information being information relating to a state in which the substrates are held the substrate holding part in the plural batches received by the selection receiving unit.
    Type: Grant
    Filed: March 11, 2009
    Date of Patent: August 5, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tokunobu Akao, Kazuyoshi Yamamoto
  • Patent number: 8794289
    Abstract: An apparatus for making a carbon nanotube film includes a substrate holder, a bar supplying device, a carrier device, and a stretching device arranged in alignment in that order. A method for making a carbon nanotube film is further provided.
    Type: Grant
    Filed: July 24, 2011
    Date of Patent: August 5, 2014
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Liang Liu, Kai-Li Jiang, Shou-Shan Fan
  • Publication number: 20140212994
    Abstract: Embodiments of the present disclosure generally provide apparatus and method for improving processing uniformity by reducing external magnetic noises. One embodiment of the present disclosure provides an apparatus for processing semiconductor substrates. The apparatus includes a chamber body defining a vacuum volume for processing one or more substrate therein, and a shield assembly for shielding magnetic flux from the chamber body disposed outside the chamber body, wherein the shield assembly comprises a bottom plate disposed between the chamber body and the ground to shield magnetic flux from the earth.
    Type: Application
    Filed: January 23, 2014
    Publication date: July 31, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hun Sang KIM, Sang Wook KIM, Anisul H. KHAN
  • Patent number: 8790464
    Abstract: A mole delivery system and method provide pulses of known molar quantities as a function of the time duration of each pulse, which in turn is derived as a function of the ideal gas law. In one embodiment of the system, the system comprises: a chamber of known volume and controlled and known temperature; a pressure sensor to measure the pressure in the chamber; an outlet valve to a process tool; an inlet valve to charge the chamber with the delivery gas; and a control system configured and arranged so as to control the operation of the outlet valve, control the amount of each gas pulse by controlling the timing of the valve to the process tool.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: July 29, 2014
    Assignee: MKS Instruments, Inc.
    Inventor: Paul Meneghini
  • Publication number: 20140202490
    Abstract: An analysis (e.g., LIBS) system includes a laser source generating a laser beam for creating a plasma at a location on a sample, and a spectrometer responsive to photons emitted by the sample at said location and having an output. A controller is responsive to a trigger signal and is configured to activate the laser source generating a series of laser pulses in a cleaning cycle, process the spectrometer output, and automatically terminate the cleaning cycle based on the spectrometer output.
    Type: Application
    Filed: January 21, 2013
    Publication date: July 24, 2014
    Inventor: David Day
  • Patent number: 8784622
    Abstract: A system is provided for etching patterned media disks. A movable non-contact electrode is utilized to perform sputter etch. The electrode moves to near contact distance to, but not contacting, the substrate so as to couple RF energy to the disk. The material to be etched may be metal, e.g., Co/Pt/Cr or similar metals. The substrate is held vertically in a carrier and both sides are etched serially. That is, one side is etched in one chamber and then in the next chamber the second side is etched. An isolation valve is disposed between the two chambers and the disk carrier moves the disks between the chambers. The carrier may be a linear drive carrier, using, e.g., magnetized wheels and linear motors.
    Type: Grant
    Filed: December 5, 2008
    Date of Patent: July 22, 2014
    Assignee: Intevac, Inc.
    Inventors: Michael S. Barnes, Terry Bluck
  • Patent number: 8785216
    Abstract: A substrate processing method which is capable of enhancing productivity in manufacturing product substrates. In process chambers of an etching apparatus, etching is carried out on a substrate as an object to be processed, and dummy processing is carried out on at least one non-product substrate before execution of the etching. A host computer determines whether or not the dummy processing is to be executed. The host computer determines whether or not the interior of each of the process chambers and is in a stable state, and omits the execution of the dummy processing when it is determined that it is in the stable state.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: July 22, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Yamazaki, Mitsuru Hashimoto