With Measuring, Sensing, Detection Or Process Control Means Patents (Class 156/345.24)
  • Publication number: 20140197129
    Abstract: Scan step (S3) for moving a nozzle with etching liquid discharged therefrom is carried out such that etching liquid application position toward the rotating substrate moves from the edge portion toward the center portion of the principal face of the substrate. Thereafter, center discharging step (S4) for continuing the supply of the etching liquid toward the principal face of the substrate under the condition that the application position is positioned at the center portion of the principal face of the substrate W is conducted. Moving velocity of the liquid application position in the scan step (S3) is determined in accordance with supply condition of the etching liquid toward the substrate under the condition that the liquid application position is positioned at the center portion of the principal face of the substrate.
    Type: Application
    Filed: January 2, 2014
    Publication date: July 17, 2014
    Applicant: DAINIPPON SCREEN MFG. CO., LTD.
    Inventors: Tatsuya FUJII, Toru ENDO
  • Publication number: 20140197134
    Abstract: Systems and methods for plasma processing of microfeature workpieces are disclosed herein. In one embodiment, a method includes generating a plasma in a chamber while a microfeature workpiece is positioned in the chamber, measuring optical emissions from the plasma, and determining a parameter of the plasma based on the measured optical emissions. The parameter can be an ion density or another parameter of the plasma.
    Type: Application
    Filed: March 18, 2014
    Publication date: July 17, 2014
    Applicant: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Publication number: 20140190934
    Abstract: A method and apparatus is provided for preparing samples for observation in a charged particle beam system in a manner that reduces or prevents artifacts. Material is deposited onto the sample using charged particle beam deposition just before or during the final milling, which results in an artifact-free surface. Embodiments are useful for preparing cross sections for SEM observation of samples having layers of materials of different hardnesses. Embodiments are useful for preparation of thin TEM samples.
    Type: Application
    Filed: December 31, 2013
    Publication date: July 10, 2014
    Inventors: Michael Schmidt, Jeffrey Blackwood, Stacey Stone, Sang Hoon Lee, Ronald Kelley
  • Publication number: 20140193972
    Abstract: Methods and apparatus for manufacturing semiconductor devices, and such semiconductor devices, are described. According to various aspects of the disclosure, a semiconductor device can be manufactured by forming a core region of the semiconductor device and forming a periphery region of the semiconductor device. A first polysilicon region can then be formed over the core and periphery regions of the semiconductor device. A first mask is formed on the first poly silicon layer and a second polysilicon layer is disposed such that the second polysilicon layer covers the first mask. A second mask can then be formed on the second polysilicon layer. After forming the second mask, portions of the first and second polysilicon layers that are uncovered by either the first or second masks are removed.
    Type: Application
    Filed: January 7, 2013
    Publication date: July 10, 2014
    Applicant: Spansion LLC
    Inventors: Scott A. BELL, Angela Tai HUI, Simon S. CHAN
  • Patent number: 8771538
    Abstract: Embodiments of the present invention generally provide a plasma source apparatus, and method of using the same, that is able to generate radicals and/or gas ions in a plasma generation region that is symmetrically positioned around a magnetic core element by use of an electromagnetic energy source. In general, the orientation and shape of the plasma generation region and magnetic core allows for the effective and uniform coupling of the delivered electromagnetic energy to a gas disposed in the plasma generation region. In general, the improved characteristics of the plasma formed in the plasma generation region is able to improve deposition, etching and/or cleaning processes performed on a substrate or a portion of a processing chamber that is disposed downstream of the plasma generation region.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: July 8, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Jang-Gyoo Yang, Matthew Miller, Jay Pinson, Kien Chuc
  • Publication number: 20140182619
    Abstract: Plasma is generated using elemental hydrogen, a weak oxidizing agent, and a fluorine containing gas. An inert gas is introduced to the plasma downstream of the plasma source and upstream of a showerhead that directs gas mixture into the reaction chamber where the mixture reacts with the high-dose implant resist. The process removes both the crust and bulk resist layers at a high strip rate, and leaves the work piece surface substantially residue free with low silicon loss.
    Type: Application
    Filed: February 3, 2014
    Publication date: July 3, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Haruhiro Harry Goto, David Cheung
  • Publication number: 20140183133
    Abstract: Technologies are generally described for a gas filtration device including an array of parallel carbon nanotubes. The carbon nanotubes may extend between first and second substrates, and the ends of the carbon nanotubes may be embedded in the substrates and cut to expose openings at each end of the carbon nanotubes. The carbon nanotubes may be composed from a graphene membrane which may be perforated with a plurality of discrete pores of a selected size for enabling one or more molecules to pass through the pores. A fluid mixture including two or more molecules for filtering may be directed through the first openings of the array of nanotubes, and the fluid mixture may be filtered by enabling smaller molecules to pass through the discrete pores of the graphene membrane walls of the carbon nanotubes to produce in a filtrate fraction including the smaller molecules and a retentate fraction including larger molecules.
    Type: Application
    Filed: December 4, 2012
    Publication date: July 3, 2014
    Inventors: Kraig Anderson, Angeie Sjong
  • Publication number: 20140179030
    Abstract: A multiple channel site-isolated reactor system and method are described. The system contains a reactor block with a plurality of reactors. Input lines are coupled to each reactor to provide a fluid to the respective reactors. A sealing element associated with each reactor contacts a surface of a substrate disposed below the reactor block, which defines isolated regions on the surface of the substrate. A dissolution rate monitor extends into each reactor to monitor a rate of real-time dissolution of one or more layers on the surface of the substrate when it is disposed proximate to the surface of the substrate.
    Type: Application
    Filed: December 20, 2012
    Publication date: June 26, 2014
    Applicant: INTERMOLECULAR, INC.
    Inventor: George Mirth
  • Publication number: 20140166205
    Abstract: A process monitoring device 11 includes a light source unit that outputs light; a light detection unit that detects an intensity of light; a first optical path 21 that guides the light outputted from the light source unit to a wafer W and guides reflection light from the wafer W to the light detection unit; a second optical path that has a light propagation characteristic equivalent to that of the first optical path 21 and guides the light outputted from the light source unit to the light detection unit without allowing the light to pass the wafer W; and a controller 17 that corrects intensity information of the light detected by the light detection unit via the first optical path 21 based on intensity information of the light detected by the light detection unit via the second optical path, and analyzes a structure of the wafer W.
    Type: Application
    Filed: April 6, 2012
    Publication date: June 19, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Caizhong Tian, Toshihisa Nozawa, Kazuki Moyama, Takahiro Senda, Kazuyuki Kato, Munetaka Yamagami
  • Publication number: 20140166046
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Application
    Filed: August 12, 2013
    Publication date: June 19, 2014
    Applicant: GIGAPHOTON INC.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Publication number: 20140167228
    Abstract: A method for etching features with different aspect ratios in an etch layer is provided. A plurality of cycles is provided wherein each cycle comprises a pre-etch transient conditioning of the etch layer, which provides a transient condition of the etch layer, wherein the transient condition has a duration and etching the etch layer for a duration, wherein the duration of the etching with respect to the duration of the transient condition is controlled to control etch aspect ratio dependence.
    Type: Application
    Filed: December 14, 2012
    Publication date: June 19, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Wonchul LEE, Qian FU, John S. DREWERY
  • Patent number: 8747608
    Abstract: A plasma processing apparatus includes a detector for detecting interference light of multiple wavelengths from a surface of a sample during processing, a pattern comparator for comparing actual deviation pattern data on the interference light obtained at a given time during processing and a plurality of standard deviation patterns corresponding to two or more thicknesses of the film, and calculating a deviation, the standard deviation patterns corresponding to interference light data of multiple wavelengths obtained, before the processing of the sample, for processing of another sample, a deviation comparator for comparing the deviation between the data and a predetermined deviation and outputting data on a thickness of the film of the sample at that time, a recorder for recording, as time series data, the data on the thickness of the film, and an endpoint decision unit.
    Type: Grant
    Filed: December 28, 2011
    Date of Patent: June 10, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Tatehito Usui, Kazuhiro Joo, Takashi Fujii
  • Patent number: 8746170
    Abstract: A vacuum chamber is evacuated through a first evacuation passage provided with a first valve and a second evacuation passage provided with a second valve. An opening degree of the first valve is adjusted so that a pressure in the vacuum chamber becomes substantially equal to a process pressure P; an opening degree of a butterfly valve further provided in the second evacuation passage is adjusted to substantially equal to a set value determined by a table in order to set flow rates of gases to be evacuated through the first evacuation passage and the second evacuation passage to be substantially equal to corresponding set values determined by the recipe; and an opening degree of the second valve is adjusted so that a measurement value of a differential pressure gauge further provided in the second evacuation passage becomes substantially equal to a differential pressure written in the table.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: June 10, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Kohichi Orito, Manabu Honma, Tatsuya Tamura
  • Publication number: 20140154464
    Abstract: Technologies are generally described for a graphene membrane with uniformly-sized nanoscale pores that may be prepared at a desired size using colloidal lithography. A graphene monolayer may be coated with colloidal nanoparticles using self-assembly, followed by off-axis metal layer deposition, for example. The metal layer may form on the colloidal nanoparticles and on portions of the graphene not shadowed by the nanoparticles. The nanoparticles may be removed to leave a negative metal mask that exposes the underlying graphene through holes left by the removed nanospheres. The bare graphene may be etched to create pores using an oxygen plasma or similar material, while leaving metal-masked regions intact. Pore size may be controlled according to size of colloidal nanoparticles and angle of metal deposition relative to the substrate. The process may result in a dense, hexagonally packed array of uniform holes in graphene for use as a membrane, especially in liquid separations.
    Type: Application
    Filed: November 30, 2012
    Publication date: June 5, 2014
    Applicant: Empire Technology Development, LLC
    Inventors: Seth Adrian Miller, Gary L. Duerksen
  • Patent number: 8741164
    Abstract: Methods for optimizing a plasma process are provided. The method may include obtaining a measurement spectrum from a plasma reaction in a chamber, calculating a normalized measurement standard and a normalized measurement spectrum of the measurement spectrum, comparing the normalized measurement spectrum with a normalized reference spectrum, and comparing the normalized measurement standard with a normalized reference standard to determine whether to change a process parameter of the plasma process or clean the chamber when the normalized measurement spectrum and the normalized reference spectrum are mismatched.
    Type: Grant
    Filed: August 30, 2012
    Date of Patent: June 3, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sangwuk Park, Kye Hyun Baek, Kyoungsub Shin, Brad H. Lee
  • Patent number: 8741095
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber; a first electrode for supporting a substrate to be processed in the processing chamber; a processing gas supply unit for supplying a processing gas into a processing space; a plasma excitation unit for generating a plasma by exciting the processing gas in the processing chamber; a first radio frequency power supply unit for supplying a first radio frequency power to the first electrode to attract ions in the plasma to the substrate; and a first radio frequency power amplitude modulation unit for modulating an amplitude of the first radio frequency power at a predetermined interval. The plasma processing apparatus further includes a first radio frequency power frequency modulation unit for modulating a frequency of the first radio frequency power in substantially synchronously with the amplitude modulation of the first radio frequency power.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: June 3, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 8733282
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: May 27, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Patent number: 8736175
    Abstract: A plasma processing system for generating plasma to process at least a wafer. The plasma processing system includes a coil for conducting a current for sustaining at least a portion of the plasma. The plasma processing system also includes a sensor coupled with the coil for measuring a magnitude of a supplied current to provide a magnitude measurement without measuring any phase angle of the supplied current. The supplied current is the current or a total current that is used for providing a plurality of currents (e.g., including the current). The plasma processing system also includes a controller coupled with the sensor for generating a command using the magnitude measurement and/or information derived using the magnitude measurement, without using information related to phase angle measurement, and for providing the command for controlling the magnitude of the supplied current and/or a magnitude of the total current.
    Type: Grant
    Filed: October 20, 2010
    Date of Patent: May 27, 2014
    Assignee: Lam Research Corporation
    Inventors: Maolin Long, Seyed Jafar Jafarian-Tehrani, Arthur Sato, Neil Martin Paul Benjamin, Norman Williams
  • Publication number: 20140138350
    Abstract: A method and apparatus is provided for preparing samples for observation in a charged particle beam system in a manner that reduces or prevents artifacts. Material is deposited onto the sample using charged particle beam deposition just before or during the final milling, which results in an artifact-free surface. Embodiments are useful for preparing cross sections for SEM observation of samples having layers of materials of different hardnesses. Embodiments are useful for preparation of thin TEM samples.
    Type: Application
    Filed: November 15, 2013
    Publication date: May 22, 2014
    Inventor: Ronald Kelley
  • Publication number: 20140138029
    Abstract: A cleaning and purifying apparatus 40 detects an abnormal event that occurs during use of the plasma generator 1 and controls plasma discharge based on the result of detection.
    Type: Application
    Filed: June 6, 2012
    Publication date: May 22, 2014
    Applicant: PANASONIC CORPORATION
    Inventors: Kenji Narita, Wataru Sanematsu
  • Publication number: 20140134827
    Abstract: A method and apparatus for conformally depositing a dielectric oxide in high aspect ratio gaps in a substrate is disclosed. A substrate is provided with one or more gaps into a reaction chamber where each gap has a depth to width aspect ratio of greater than about 5:1. A first dielectric oxide layer is deposited in the one or more gaps by CFD. A portion of the first dielectric oxide layer is etched using a plasma etch, where etching the portion of the first dielectric oxide layer occurs at a faster rate near a top surface than near a bottom surface of each gap so that the first dielectric oxide layer has a tapered profile from the top surface to the bottom surface of each gap. A second dielectric oxide layer is deposited in the one or more gaps over the first dielectric oxide layer via CFD.
    Type: Application
    Filed: November 7, 2013
    Publication date: May 15, 2014
    Applicant: Novellus Systems, Inc.
    Inventors: Shankar Swaminathan, Bart van Schravendijk, Adrien Lavoie, Sesha Varadarajan, Jason Daejin Park, Michal Danek, Naohiro Shoda
  • Publication number: 20140131314
    Abstract: A plasma processing apparatus includes: a processing chamber in which plasma processing is performed; a gas feeding unit which supplied process gas into the processing chamber; a radio-frequency power source which supplies radio-frequency power that turns the process gas fed into the processing chamber to plasma; and a light detector which detects the light emitted from the plasma generated in the process chamber. The light detector includes a detecting unit which detects, during respective preset exposure times, the light emitted from the plasma that is generated due to pulse-modulated radio-frequency power, and a control unit which performs control such that the amount of the light emitted from the plasma during each of the preset exposure times becomes constant.
    Type: Application
    Filed: February 7, 2013
    Publication date: May 15, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yoji ANDO, Tetsuo ONO, Tatehito USUI
  • Publication number: 20140124138
    Abstract: A plasma processing chamber is provided comprising one or more process gas inlets, one or more exhaust gas outlets, plasma generating hardware configured to generate a process gas plasma in a plasma processing portion of the plasma processing chamber, a wafer processing stage positioned in the plasma processing chamber, and a plasma monitoring probe assembly. The plasma monitoring probe assembly comprises an electrically conductive probe and an insulator sleeve assembly positioned about the electrically conductive probe. The insulator sleeve assembly comprises a plasma-side sleeve portion and a subterranean sleeve portion positioned about distinct portions of a longitudinal probe axis of the electrically conductive probe of the probe assembly.
    Type: Application
    Filed: November 7, 2012
    Publication date: May 8, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Simon Gosselin
  • Publication number: 20140124478
    Abstract: The present disclosure provides a plasma processing apparatus, including: a processing chamber; an oscillator configured to output high-frequency power; a power supply unit configured to supply the high-frequency power from a specific plasma generating location into the processing chamber; a magnetic field forming unit provided outside the processing chamber and configured to forming a magnetic field at least at the specific plasma generating location; and a control unit configured to control the magnetic field formed by the magnetic field forming unit such that a relationship between an electron collision frequency fe of plasma generated in the processing chamber and a cyclotron frequency fc is fc>fe.
    Type: Application
    Filed: November 5, 2013
    Publication date: May 8, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun YOSHIKAWA, Yoshio SUSA, Naoki MATSUMOTO, Peter L. G. VENTZEK
  • Publication number: 20140116620
    Abstract: A plasma processing apparatus includes an upper electrode arranged at a processing chamber and including a plurality of gas supplying zones, a branch pipe including a plurality of branch parts, an addition pipe connected to at least one of the branch parts, and a plurality of gas pipes that connect the branch parts to the gas supplying zones. The upper electrode supplies a processing gas including a main gas to the processing chamber via the gas supplying zones. The branch pipe divides the processing gas according to a predetermined flow rate ratio and supplies the divided processing gas to the gas supplying zones. The addition pipe adds an adjustment gas. A gas flow path of the gas pipe connected to the branch part to which the addition pipe is connected includes a bending portion for preventing a gas concentration variation according to an adjustment gas-to-main gas molecular weight ratio.
    Type: Application
    Filed: October 21, 2013
    Publication date: May 1, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Yusei KUWABARA, Nobuaki SHINDO, Sachie ISHIBASHI, Takahiko KATO, Noboru MAEDA
  • Publication number: 20140110059
    Abstract: An Atmospheric-Pressure Plasma processing apparatus used for Atmospheric-Pressure Plasma processing of substrates, comprises a radio-frequency generator and two electrode plates disposed vertically and opposing each other. The two electrode plates have two surface opposing to each other, one of which is a flat surface, and the other is a stepped surface, such that a gap is provided between the two electrode plates and said gap comprising a narrower gap part at an upper side and a wider gap part at a lower side. The radio-frequency generator is connected to the two electrode plates, and applies radio-frequency signals to the two electrode plates so as to generate plasma within the gap.
    Type: Application
    Filed: October 21, 2013
    Publication date: April 24, 2014
    Applicants: HEFEI BOE OPTOELECTRONICS TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Wentong HUANG, Wenbin HU
  • Publication number: 20140097153
    Abstract: a method of plasma etching a silicon carbide workpiece includes forming a mask on a surface of the silicon carbide workpiece, performing an initial plasma etch on the masked surface using a first set of process conditions, wherein the plasma is produced using an etchant gas mixture which includes i) oxygen and ii) at least one fluorine rich gas which is present in the etchant gas mixture at a volume ratio of less than 50%, and subsequently performing a bulk plasma etch process using a second set of process conditions which differ from the first set of process conditions.
    Type: Application
    Filed: October 1, 2013
    Publication date: April 10, 2014
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventors: Huma ASHRAF, Anthony BARKER
  • Patent number: 8692166
    Abstract: A device for heating a substrate with light from a flash lamp having a semiconductor switch connected in series to the flash lamp. After triggering of a trigger electrode of the flash lamp, a first drive signal and a second drive signal are output from a gate circuit. The time period when the semiconductor switch is on due to the second drive signal is longer than the time period that the semiconductor switch is on by the first drive signal. Then, the semiconductor switch is switched on and off by the first drive signal and the substrate temperature is increased to a temperature, which is lower than the desired temperature to be targeted, and is maintained a that temperature for a short time, after which the surface temperature of the substrate is increased to the desired target temperature.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: April 8, 2014
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventor: Takehiko Yokomori
  • Publication number: 20140093745
    Abstract: The embodiments disclose a dual-layer magnetic recording structure including a top magnetic layer etched to remove patterned portions of the top magnetic layer and a bottom magnetic layer including portions with altered magnetic properties of molecules to reduce net magnetic moments and including portions of unaltered magnetic properties exchange-coupled through the top magnetic layer.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 3, 2014
    Applicant: SEAGATE TECHNOLOGY, LCC
    Inventor: Zhaohui Fan
  • Patent number: 8683943
    Abstract: A disclosed plasma process apparatus is disclosed that applies a plasma process to an object to be processed, including a cylindrical processing container configured to be evacuatable to vacuum, a holding unit configured to hold plural objects to be processed and inserted into and to be extracted from the cylindrical processing container, a gas supplying unit configured to supply a gas into the processing container, an activating unit configured to be located along a longitudinal direction of the processing container and to activate the gas by plasma generated by a high frequency power, a cylindrical shield cover configured to surround a periphery of the processing container and to be connected to ground for shielding from high frequency, and a cooling device configured to cause the cooling gas to flow through a space between the cylindrical shield cover and the cylindrical processing container during the plasma process.
    Type: Grant
    Filed: April 28, 2010
    Date of Patent: April 1, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Naomi Onodera, Kiyohiko Gokon, Jun Sato
  • Patent number: 8685265
    Abstract: An etching apparatus includes a process unit and a control unit. Emission intensity of plasma inside the process unit is obtained by an OES detector, a nonlinear regression analysis is performed by an etching control device to determine a regression formula. The nonlinear regression analysis is performed by using the emission intensity of the plasma obtained until a first time when the emission intensity of the plasma passes a peak, and a second time to be an etching end point is calculated by using the regression formula. The etching end point is calculated as a time when the emission intensity decreases for a predetermined value from the first time. The etching apparatus finishes an etching when the process reaches the etching end point. It is thereby possible to control the etching end point with high-accuracy.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: April 1, 2014
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Yoshiyuki Nakao, Kazuo Hashimi
  • Patent number: 8685759
    Abstract: The present disclosure describes a semiconductor manufacturing apparatus. The apparatus includes a processing chamber designed to perform a process to a wafer; an electrostatic chuck (E-chuck) configured in the processing chamber and designed to secure the wafer, wherein the E-chuck includes an electrode and a dielectric feature formed on the electrode; a tuning structure designed to hold the E-chuck to the processing chamber by clamping forces, wherein the tuning structure is operable to dynamically adjust the clamping forces; a sensor integrated with the E-chuck and sensitive to the clamping forces; and a process control module for controlling the tuning structure to adjust the clamping forces based on pre-measurement data from the wafer and sensor data from the sensor.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: April 1, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jo Fei Wang, Sunny Wu, Jong-I Mou
  • Patent number: 8686711
    Abstract: A method for calibrating a high frequency measuring device so as to accurately measure plasma processing parameters within a chamber. A calibration parameter is calculated from a first set of three reference loads measured by a high frequency measurement device. A second calibration parameter is calculated from S parameters measured between a connection point where the high-frequency measuring device is connected and the inside of the chamber of a plasma processing device. A second set of three reference loads, which include the impedance previously calculated and encompass a range narrower than that encompassed by the first set of three reference loads, is measured with the reference loads in the chamber.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: April 1, 2014
    Assignee: DAIHEN Corporation
    Inventors: Ryohei Tanaka, Yoshifumi Ibuki
  • Publication number: 20140086720
    Abstract: A semiconductor processing station is provided. The semiconductor processing station includes a first platform, a second platform and a vacuum tunnel, wherein the first platform has a first load lock and a first plurality of chambers, and the second platform has a second load lock and a second plurality of chambers, and the vacuum tunnel connects the first and the second load locks.
    Type: Application
    Filed: September 27, 2012
    Publication date: March 27, 2014
    Applicant: Taiwan Semiconductor Manufaturing Company, Ltd.
    Inventors: Mao-Lin KAO, Hsu-Shui Liu, Tien-Chen Hu, Li-Jen Ko, Hsiang-Yin Shen, Jiun-Rong Pai
  • Publication number: 20140087072
    Abstract: A deposition system includes a system housing having a housing interior, a fixture transfer assembly having a generally sloped fixture transfer rail extending through the housing interior, a plurality of processing chambers connected by the fixture transfer rail, a controller interfacing with the processing chambers and at least one fixture carrier assembly carried by the fixture transfer rail and adapted to contain one substrate. The fixture carrier assembly travels along the fixture transfer rail under influence of gravity. A deposition method is also disclosed.
    Type: Application
    Filed: October 16, 2012
    Publication date: March 27, 2014
    Applicant: QUANTUM INNOVATIONS, INC.
    Inventors: NORMAN L. KESTER, CLIFF J. LEIDECKER
  • Patent number: 8679255
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Grant
    Filed: April 26, 2013
    Date of Patent: March 25, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Noriiki Masuda
  • Publication number: 20140076849
    Abstract: An etching apparatus includes: a chamber configured to accommodate a substrate to be processed having an etching target film; a gas exhaust mechanism configured to exhaust an inside of the chamber; an etching gas supply mechanism configured to supply an etching gas into the chamber; and a gas cluster generation mechanism configured to generate a gas cluster in the chamber by spraying a cluster gas into the chamber, wherein a gas produced by a reaction when the etching target film is etched with the etching gas is discharged from the chamber by the gas cluster generated by the gas cluster generation mechanism.
    Type: Application
    Filed: September 10, 2013
    Publication date: March 20, 2014
    Applicant: Tokyo Electron Limited
    Inventor: Shuji MORIYA
  • Publication number: 20140076848
    Abstract: A plasma etching method deposits a silicon-containing deposit by a plasma processing using a Si-containing gas on an object to be processed that includes a film to be processed, an organic film formed in a plurality of narrow linear portions on the film to be processed, and a rigid film that covers both the film to be processed which is exposed between the linear portions and the linear portions. In the plasma etching method, each of the plurality of narrow linear portions of the organic film and the film to be processed between the linear portions are exposed by etching the silicon-containing deposit by plasma of CF-based gas and CHF-based gas after the silicon-containing deposit is deposited.
    Type: Application
    Filed: November 20, 2013
    Publication date: March 20, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoichi NAKAHARA
  • Patent number: 8671879
    Abstract: Systems and methods for plasma processing of microfeature workpieces are disclosed herein. In one embodiment, a method includes generating a plasma in a chamber while a microfeature workpiece is positioned in the chamber, measuring optical emissions from the plasma, and determining a parameter of the plasma based on the measured optical emissions. The parameter can be an ion density or another parameter of the plasma.
    Type: Grant
    Filed: January 12, 2009
    Date of Patent: March 18, 2014
    Assignee: Micron Technology, Inc.
    Inventors: Shu Qin, Allen McTeer
  • Patent number: 8673080
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: March 18, 2014
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Patent number: 8671878
    Abstract: An apparatus for forming spacers is provided. A plasma processing chamber is provided, comprising a chamber wall, a substrate support, a pressure regulator, an antenna, a bias electrode, a gas inlet, and a gas outlet. A gas source comprises an oxygen gas source and an anisotropic etch gas source. A controller comprises a processor and computer readable media. The computer readable media comprises computer readable code for placing a substrate of the plurality of substrates in a plasma etch chamber, computer readable code for providing a plasma oxidation treatment to form a silicon oxide coating over the spacer layer, computer readable code for sputtering silicon to form silicon oxide with the oxygen plasma, computer readable code for providing an anisotropic main etch, computer readable code for etching the spacer layer, computer readable code for removing the substrate from the plasma etch chamber after etching the spacer layer.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: March 18, 2014
    Assignee: Lam Research Corporation
    Inventors: Qinghua Zhong, Sung Cho, Gowri Kamarthy, Linda Braly
  • Publication number: 20140069459
    Abstract: Provided are methods and related apparatus for removing tungsten film from a station of a single-station or multi-station chamber and station component surfaces between tungsten deposition processes. In some embodiments, the methods can involve introducing an inert gas flow upstream of a gas inlet to a station and downstream of a remote plasma generator that provides activated cleaning species. In some embodiments, the methods can involve modulating inert gas flow during various stages of a cleaning process. In some embodiments, the methods can involve manipulating positions of a substrate carrier ring during various stages of the cleaning process. Also in some embodiments, the methods can involve differentially modulating the amounts of inert gas introduced to stations of a multi-station chamber. The methods can provide improved clean uniformity, reduced over-etch, and increased throughput due to shorter cleaning time.
    Type: Application
    Filed: October 17, 2012
    Publication date: March 13, 2014
    Inventors: Yan Guan, Abhishek Manohar, Raashina Humayun, Panya Wongsenakhum
  • Publication number: 20140073113
    Abstract: A plasma etching method deposits a silicon-containing deposit by a plasma processing using a Si-containing gas on an object to be processed that includes a film to be processed, an organic film formed in a plurality of narrow linear portions on the film to be processed, and a rigid film that covers both the film to be processed which is exposed between the linear portions and the linear portions. In the plasma etching method, each of the plurality of narrow linear portions of the organic film and the film to be processed between the linear portions are exposed by etching the silicon-containing deposit by plasma of CF-based gas and CHF-based gas after the silicon-containing deposit is deposited.
    Type: Application
    Filed: August 22, 2013
    Publication date: March 13, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoichi NAKAHARA
  • Publication number: 20140061159
    Abstract: A composite charged particle beam apparatus includes: a FIB column irradiating a thin sample with FIB; a GIB column irradiating the thin sample with GIB; a sample stage on which the thin sample is placed; a first tilt unit for tilting the thin sample about a first tilt axis of the sample stage, the first tilt axis being orthogonal to an FIB irradiation axis and being located inside a first plane formed by the FIB irradiation axis and a GIB irradiation axis; and a second tilt unit for tilting the thin sample about an axis which is orthogonal to the FIB irradiation axis and the first tilt axis.
    Type: Application
    Filed: August 28, 2013
    Publication date: March 6, 2014
    Applicant: HITACHI HIGH-TECH SCIENCE CORPORATION
    Inventors: Tatsuya ASAHATA, Hidekazu SUZUKI, Shota TORIKAWA
  • Publication number: 20140034609
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Application
    Filed: October 4, 2013
    Publication date: February 6, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Rajinder Dhindsa
  • Publication number: 20140024143
    Abstract: Disclosed is an in-situ optical monitor (ISOM) system and associated method for controlling plasma etching processes during the forming of stepped structures in semiconductor manufacturing. The in-situ optical monitor (ISOM) can be optionally configured for coupling to a surface-wave plasma source (SWP), for example a radial line slotted antenna (RLSA) plasma source. A method is described to correlate the lateral recess of the steps and the etched thickness of a photoresist layer for use with the in-situ optical monitor (ISOM) during control of plasma etching processes in the forming of stepped structures.
    Type: Application
    Filed: July 18, 2013
    Publication date: January 23, 2014
    Applicant: Tokyo Electron Limited
    Inventors: Shifang LI, Junwei Bao, Hanyou Chu, Wen Jin, Ching-Ling Meng, Weiwen Xu, Ping Wang, Holger Tuitje, Mihail Mihaylov, Xinkang Tian
  • Publication number: 20140022540
    Abstract: Among the multiple OES data wavelengths, an analysis device identifies the wavelength of light emissions from a substance contained in the plasma from among multiple light emission wavelengths within the chamber by way of the steps of: measuring the light emission within the chamber during etching processing of the semiconductor wafer; finding the time-based fluctuation due to changes over time on each wavelength in the measured intensity of the light emissions in the chamber; comparing the time-based fluctuations in the wavelength of the light emitted from the pre-specified substance; and by using the comparison results, identifying the wavelength of the light emitted from the substance caused by light emission within the chamber.
    Type: Application
    Filed: July 18, 2013
    Publication date: January 23, 2014
    Inventors: Ryoji ASAKURA, Kenji TAMAKI, Akira KAGOSHIMA, Daisuke SHIRAISHI, Toshio MASUDA
  • Publication number: 20140020708
    Abstract: Systems and methods for edge exclusion control are described. One of the systems includes a plasma chamber. The plasma processing chamber includes a lower electrode having a surface for supporting a substrate. The lower electrode is coupled with a radio frequency (RF) power supply. The plasma processing chamber further includes an upper electrode disposed over the lower electrode. The upper electrode is electrically grounded. The plasma processing chamber includes an upper dielectric ring surrounding the upper electrode. The upper dielectric ring is moved using a mechanism for setting a vertical position of the upper dielectric ring separate from a position of the upper electrode. The system further includes an upper electrode extension surrounding the upper dielectric ring. The upper electrode extension is electrically grounded. The system also includes a lower electrode extension surrounding the lower dielectric ring. The lower electrode extension is arranged opposite the upper electrode extension.
    Type: Application
    Filed: July 19, 2012
    Publication date: January 23, 2014
    Applicant: Lam Research Corporation
    Inventors: Keechan Kim, Yansung Kim
  • Publication number: 20140020831
    Abstract: A plasma processing apparatus includes a processing chamber for processing a sample with a plasma, an RF power supply for generating the plasma within the processing chamber, an RF bias power supply for supplying RF bias power to a sample stage on which the sample is mounted, a pulse generation unit for creating first pulses for modulating the output from the RF power supply for generating the plasma and second pulses for modulating the output from the RF bias power supply, and a controller for providing control of the processing of the sample with the sample. The pulse generation unit creates the first pulses and the second pulses synchronized based on a pulse delay time transmitted from the controller. The pulse delay time is established to delay the second pulses relative to the first pulses.
    Type: Application
    Filed: January 16, 2013
    Publication date: January 23, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Yasuo OHGOSHI, Michikazu MORIMOTO, Yuuzou OOHIRABARU, Tetsuo ONO
  • Publication number: 20140014621
    Abstract: The embodiments disclose a method for an electron curing reverse-tone process, including depositing an etch-resistant layer onto a patterned imprinted resist layer fabricated onto a hard mask layer deposited onto a substrate, curing the etch-resistant layer using an electron beam dose during etching processes of imprinted pattern features into the hard mask and into the substrate and using analytical processes to quantify reduced pattern feature placement drift errors and to quantify increased pattern feature size uniformity of imprinted pattern features etched.
    Type: Application
    Filed: March 13, 2013
    Publication date: January 16, 2014
    Inventors: Zhaoning Yu, Nobuo Kurataka, Gennady Gauzner