Masking Of A Substrate Using Material Resistant To An Etchant (i.e., Etch Resist) Patents (Class 216/41)
  • Patent number: 8563230
    Abstract: A solvent vapor is made to adhere efficiently to the surface of a resist pattern without using an ultraviolet irradiation process to improve processing accuracy, to reduce processing time and to suppress the diffusion of the solvent outside a substrate processing system. The surface of a resist pattern R formed on a semiconductor wafer W by an exposure process and a developing process is coated with water molecules m. A solvent vapor of a water-soluble solvent, such as NMP, is spouted on the surface of the resist pattern R coated with the water molecules m. A surface layer of the resist pattern R is swollen by the solvent vapor combined with the water molecules m to achieve a smoothing process. The water molecules m and the solvent s remaining on the resist pattern R on the wafer W after the smoothing process are removed by drying.
    Type: Grant
    Filed: December 16, 2008
    Date of Patent: October 22, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Yuichiro Inatomi
  • Patent number: 8562844
    Abstract: Block copolymers can be self-assembled and used in methods as described herein for sub-lithographic patterning, for example. The block copolymers can be diblock copolymers, triblock copolymers, multiblock copolymers, or combinations thereof. Such methods can be useful for making devices that include, for example, sub-lithographic conductive lines.
    Type: Grant
    Filed: June 9, 2011
    Date of Patent: October 22, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 8562847
    Abstract: In a through hole closing process, a metal plate is attached to one surface of a conductive base member having a plurality of through holes by the use of a magnet, in a copper plating process, a copper plating layer is formed on the conductive base member and the metal plate exposed within the through holes, from the side of the conductive base member where the metal plate is not attached, thereby to fill up the through holes, in a film forming process, a Pd alloy film is formed by plating on the surface of the conductive base member after removal of the metal plate, and in a removal process, the copper plating layer is removed by selective etching, thereby to produce a hydrogen production filter that is used in a reformer a fuel cell so as to be capable of stably producing high purity hydrogen gas.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: October 22, 2013
    Assignee: Dai Nippon Insatsu Kabushiki Kaisha
    Inventors: Hiroshi Yagi, Takanori Maeda, Yoshinori Oota, Yasuhiro Uchida
  • Patent number: 8562846
    Abstract: A mold capable of a highly accurate alignment with a member to be processed in such a state that a photocurable resin material is disposed between the mold and the member to be processed, and is constituted by a substrate 2010 formed of a first material and an alignment mark 2102 formed of a second material different from the first material. The first material and the second material have transmissivities to light in a part of an ultraviolet wavelength range. The second material has a refractive index of not less than 1.7.
    Type: Grant
    Filed: July 1, 2011
    Date of Patent: October 22, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Atsunori Terasaki, Junichi Seki, Nobuhito Suehira, Hideki Ina, Shingo Okushima
  • Publication number: 20130270227
    Abstract: A method for etching a metal layer dispose below a mask is provided. The metal layer is placed in an etch chamber. A precursor gas is flowed into the etch chamber. The precursor gas is adsorbed into the metal layer to form a precursor metal complex. The precursor metal complex is heated to a temperature above a vaporization temperature of the precursor metal complex, while the metal layer is exposed to the precursor gas. The vaporized precursor metal complex is exhausted from the etch chamber.
    Type: Application
    Filed: April 13, 2012
    Publication date: October 17, 2013
    Applicant: Lam Research Corporation
    Inventors: Joydeep GUHA, Jeffrey MARKS, Butsurin JINNAI
  • Patent number: 8557130
    Abstract: In forming a pattern on a substrate with reduced pattern error using a mold having an area smaller than an area of the substrate, a first resin pattern is formed on at least a first of a plurality of regions of an etching object layer by imprinting resin applied to the etching object layer using a first mold The etching object layer is then etched using the first resin pattern as an etching mask. A second resin pattern is formed on at least a second of the plurality of regions by imprinting resin applied to the etching object layer using a second mold. The etching object layer is again etched using the second resin pattern as an etching mask.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: October 15, 2013
    Assignee: Samsumg Electronics Co., Ltd.
    Inventors: Young Tae Cho, Suk Won Lee, Sin Kwon, Jung Woo Seo, Jeong Gil Kim
  • Patent number: 8557128
    Abstract: Methods for fabricating sub-lithographic, nanoscale microchannels utilizing an aqueous emulsion of an amphiphilic agent and a water-soluble, hydrogel-forming polymer, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: March 22, 2007
    Date of Patent: October 15, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Dan B. Millward
  • Patent number: 8557709
    Abstract: In a plasma processing apparatus comprising a processing chamber arranged in a vacuum chamber, a sample stage arranged under the processing chamber and having its top surface on which a wafer to be processed is mounted, a vacuum decompression unit for evacuating the interior of the processing chamber to reduce the pressure therein, and introduction holes arranged above said sample stage to admit process gas into the processing chamber, the wafer having its top surface mounted with a film structure and the film structure being etched by using plasma formed by using the process gas, the film structure is constituted by having a resist film or a mask film, a poly-silicon film and an insulation film laminated in this order from top to bottom on a substrate and before the wafer is mounted on the sample stage and the poly-silicon film underlying the mask film is etched, plasma is formed inside the processing chamber to cover the surface of members inside the processing chamber with a coating film containing a compo
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: October 15, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masahiro Sumiya, Motohiro Tanaka
  • Patent number: 8557131
    Abstract: Method of forming fine patterns and methods of fabricating semiconductor devices by which a photoresist (PR) pattern may be transferred to a medium material layer with a small thickness and a high etch selectivity with respect to a hard mask to form a medium pattern and the hard mask may be formed using the medium pattern. According to the methods, the PR pattern may have a low aspect ratio so that a pattern can be transferred using a PR layer with a small thickness without collapsing the PR pattern.
    Type: Grant
    Filed: November 1, 2011
    Date of Patent: October 15, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Cha-won Koh, Min-joon Park, Chang-Min Park
  • Patent number: 8551349
    Abstract: A method for producing a magnetic recording medium having a magnetically partitioned magnetic recording pattern on at least one surface of a nonmagnetic substrate, characterized by comprising a step of reacting portions of a magnetic layer, formed on the non-magnetic substrate, with ozone to modify magnetic properties of said portions of the magnetic layer for forming the magnetically partitioned magnetic recording pattern. The magnetic layer can be a two-layer structure comprising a magnetic layer having a granular structure and formed thereon a magnetic layer having a non-granular structure. The produced magnetic recording medium exhibits a greatly enhanced recording density while recording/reproducing characteristics equal to or better than those of the heretofore proposed magnetic recording mediums are maintained, and it can be produced with an enhanced efficiency.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: October 8, 2013
    Assignee: Showa Denko K.K.
    Inventors: Masato Fukushima, Akira Sakawaki, Akira Yamane
  • Publication number: 20130256263
    Abstract: In one embodiment, a pattern forming method includes: forming a functional layer having a functional group to cross-link a first polymer on a substrate; forming a diblock copolymer layer having the first polymer and a second polymer on the functional layer; self-assembling the diblock copolymer layer to form a self-assembled layer, the self-assembled layer having a first domain corresponding to the first polymer, and a plurality of second domains corresponding to the second polymer and surrounded by or interposed in the first domain; cross-linking the first polymer in the self-assembled layer with the functional group in the functional layer to form a bonding layer disposed in the self-assembled layer and bonded to the functional layer; and washing or etching the self-assembled layer to remain the bonding layer.
    Type: Application
    Filed: January 25, 2013
    Publication date: October 3, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Naoko KIHARA, Hiroyuki Hieda, Akiko Yuzawa, Norikatsu Sasao, Ryosuke Yamamoto, Yoshiyuki Kamata
  • Publication number: 20130261732
    Abstract: A method includes covering ostai of branch vessels emanating from a main vessel and an aneurysm with an integrated mesh high metal to vessel ratio stent. The integrated mesh high metal to vessel ratio stent includes serpentine rings integrated with an integrated mesh having holes formed therein. A metal to vessel ratio of the integrated mesh high metal to vessel ratio stent is sufficiently high to encourage tissue ingrowth around the integrated mesh high metal to vessel ratio stent yet is sufficiently low to ensure perfusion of the branch vessels through the integrated mesh high metal to vessel ratio stent.
    Type: Application
    Filed: March 27, 2012
    Publication date: October 3, 2013
    Applicant: Medtronic Vascular, Inc.
    Inventors: Kieth Perkins, Samuel Robaina, Jeffery Argentine, Walter Bruszewski, Andrew Kiehl
  • Publication number: 20130256265
    Abstract: Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.
    Type: Application
    Filed: May 24, 2013
    Publication date: October 3, 2013
    Applicant: UChicago Argonne LLC
    Inventors: Seth B. Darling, Jeffrey W. Elam, Yu-Chih Tseng
  • Patent number: 8545709
    Abstract: Thickness of a residual layer may be altered to control critical dimension of features in a patterned layer provided by an imprint lithography process. The thickness of the residual layer may be directly proportional or inversely proportional to the critical dimension of features. Dispensing techniques and material selection may also provide control of the critical dimension of features in the patterned layer.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: October 1, 2013
    Assignee: Molecular Imprints, Inc.
    Inventors: Cynthia B. Brooks, Dwayne L. LaBrake, Niyaz Khusnatdinov, Michael N. Miller, Sidlgata V. Sreenivasan, David James Lentz, Frank Y. Xu
  • Patent number: 8546001
    Abstract: Patterned media and associated methods of fabrication are provided in which vertical magnetic grains are grown on a patterned seed layer. The patterned seed layer includes a matrix of islands of a first seed material. Each island of first seed material is separated from other islands by a region of second seed material. The first seed material is selected to initiate growth of magnetic material, and the second seed material is selected to initiate growth of non-magnetic material. Subsequently, magnetic material is grown on the first seed material and non-magnetic material is grown on the second seed material. Deposition may be simultaneously. The magnetic and non-magnetic materials form well-defined vertical columns over the first and second seed materials respectively. Thus, each island behaves as an isolated magnetic unit, which switches independently from its neighbor units, which are magnetically separated by the non-magnetic material.
    Type: Grant
    Filed: June 30, 2010
    Date of Patent: October 1, 2013
    Assignee: HGST Netherlands, B.V.
    Inventors: Elizabeth Dobisz, David Margulies, Olav Hellwig, Xiao Z. Wu
  • Patent number: 8541313
    Abstract: A method of etching a sacrificial layer for a micro-machined structure, the sacrificial layer positioned between a layer of a first material and a layer of a second material, the etching being carried out by an etching agent. The method includes: providing at least one species having an affinity for the etching agent greater than that of the layers of first material and second material and less than or equal to that of the sacrificial layer; and then etching the sacrificial layer by the etching agent, the etching being carried out to eliminate at least partially the sacrificial layer and then to eliminate at least partially the species.
    Type: Grant
    Filed: October 25, 2006
    Date of Patent: September 24, 2013
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Stéphan Borel, Jeremy Bilde
  • Patent number: 8540888
    Abstract: Disclosed is a method of patterning a layered material. A layered material is provided, and a photoresist layer is formed thereon. The photoresist layer is patterned by a focused laser beam to expose a part of the layered material. The exposed layered material is etched to pattern the layered material.
    Type: Grant
    Filed: September 11, 2012
    Date of Patent: September 24, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Chin-Tien Yang, Ming-Chia Li, Chung-Ta Cheng
  • Publication number: 20130240480
    Abstract: According to one embodiment, a method is disclosed for manufacturing a mold. The method can include forming a second major surface receded from a first major surface by irradiating a portion of the first major surface with a charged beam to etch a base material having the first major surface. The method can include forming a mask pattern on the first major surface and the second major surface. In addition, the method can include forming a first pattern on the first major surface and a second pattern on the second major surface by etching the base material through the mask pattern.
    Type: Application
    Filed: February 28, 2013
    Publication date: September 19, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masato SUZUKI, Tetsuro Nakasugi
  • Patent number: 8535546
    Abstract: In order to provide a method of manufacturing a multilayer wiring substrate, a base member having a copper foil separably laminated thereon is prepared, and a solder resist layer is formed on the copper foil. Openings are formed in the solder resist layer, and a metal conductor portion is formed in each of the openings. By means of sputtering, a dissimilar metal layer is formed over the surface of the metal conductor portion and the entire surface of the solder resist layer. Copper electroplating is performed so as to form connection terminals and a conductor layer on the dissimilar metal layer. After a build-up step, the base material is removed, whereby the copper foil is exposed, and the exposed copper foil and the metal conductor portion are removed through etching, whereby the surfaces of the external connection terminals are exposed from the openings.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: September 17, 2013
    Assignee: NGK Spark Plug Co., Ltd.
    Inventor: Shinnosuke Maeda
  • Patent number: 8533937
    Abstract: A method or forming a wrapped-around shielded perpendicular magnetic recording writer pole is disclosed. A structure comprising a leading shield layer and an intermediate layer disposed over the leading shield layer is provided, the intermediate layer comprising a pole material and a dielectric material. A trench is formed in the dielectric material. A non-magnetic layer in the trench is removed via an ion beam etching process. A seed layer is deposited in the trench and over the pole material. A magnetic material comprising a side shield layer is deposited on at least a portion of the seed layer.
    Type: Grant
    Filed: October 18, 2011
    Date of Patent: September 17, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Jinwen Wang, Weimin Si, Jianxin Fang, Ying Hong, Hongzhou Jiang, Ching-Huang Lu, Yan Chen, Donghong Li, Lien-Chang Wang, Lieping Zhong, Tao Pan
  • Patent number: 8535549
    Abstract: A method for forming a stair-step structure in a substrate is provided. An organic mask is formed over the substrate. A hardmask with a top layer and sidewall layer is formed over the organic mask. The sidewall layer of the hard mask is removed while leaving the top layer of the hardmask. The organic mask is trimmed. The hardmask is removed. The substrate is etched. The forming the hardmask, removing the sidewall layer, trimming the organic mask, and etching the substrate are repeated a plurality of times.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: September 17, 2013
    Assignee: Lam Research Corporation
    Inventors: Qian Fu, Ce Qin, Hyun-Yong Yu
  • Publication number: 20130233825
    Abstract: A composition for forming a resist underlayer film includes a polysiloxane and a solvent. The solvent includes an organic solvent having a standard boiling point of no less than 150.0° C., and water. A content of the organic solvent is no less than 1% by mass and no greater than 50% by mass with respect to a total amount of the solvent. A content of water is no less than 1% by mass and no greater than 30% by mass with respect to the total amount of the solvent.
    Type: Application
    Filed: March 6, 2013
    Publication date: September 12, 2013
    Applicant: JSR CORPORATION
    Inventors: Shunsuke KURITA, Kazunori TAKANASHI, Hiromitsu NAKASHIMA, Tooru KIMURA
  • Patent number: 8529777
    Abstract: The present invention relates to a method of making a mask for patterning a thin film. The method includes a step of forming an inorganic material, which is resolvable into alkali solution, on a substrate; a step of forming the inorganic material in a predetermined pattern; and a step of narrowing the inorganic material with the alkali solution to form the mask.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: September 10, 2013
    Assignee: TDK Corporation
    Inventors: Hisayoshi Watanabe, Hideyuki Yatsu, Takayuki Nishizawa, Masashi Sano, Hiromichi Umehara, Takayasu Kanaya, Tetsuji Hori
  • Patent number: 8529776
    Abstract: A layer stack over a substrate is etched using a photoresist pattern deposited on the layer stack as a first mask. The photoresist pattern is in-situ cured using plasma. At least a portion of the photoresist pattern can be modified by curing. In one embodiment, silicon by-products are formed on the photoresist pattern from the plasma. In another embodiment, a carbon from the plasma is embedded into the photoresist pattern. In yet another embodiment, the plasma produces an ultraviolet light to cure the photoresist pattern. The cured photoresist pattern is slimmed. The layer stack is etched using the slimmed photoresist pattern as a second mask.
    Type: Grant
    Filed: July 25, 2011
    Date of Patent: September 10, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Kyeong Tae Lee, Sang Wook Kim, Daehee Weon, Sang-jun Choi, Sreekar Bhaviripudi, Jahyong Kuh
  • Patent number: 8529779
    Abstract: A method for producing surface features and an etch masking method. A combination is provided of a block copolymer and additional material. The block copolymer includes a first block of a first polymer covalently bonded to a second block of a second polymer. The additional material is miscible with the first polymer. A film is formed of the combination directly onto a surface of a first layer. Nanostructures of the additional material self-assemble within the first polymer block. The film of the combination and the first layer are etched. The nanostructures have an etch rate lower than an etch rate of the block copolymer and lower than an etch rate of the first layer. The film is removed and features remain on the surface of the first layer. Also included is an etch masking method where the nanostructures mask portions of the first layer from said etchant.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: September 10, 2013
    Assignee: International Business Machines Corporation
    Inventors: Joy Cheng, Mark W. Hart, Hiroshi Ito, Ho-Cheol Kim, Robert Miller
  • Patent number: 8529778
    Abstract: Methods for creating nano-shaped patterns are described. This approach may be used to directly pattern substrates and/or create imprint lithography molds that may be subsequently used to directly replicate nano-shaped patterns into other substrates in a high throughput process.
    Type: Grant
    Filed: November 12, 2009
    Date of Patent: September 10, 2013
    Assignees: Molecular Imprints, Inc., Board of Regents, The University of Texas System
    Inventors: Sidlgata V. Sreenivasan, Shuqiang Yang, Frank Y. Xu, Dwayne L. LaBrake
  • Patent number: 8529780
    Abstract: The invention relates to a ceramic substrate material having a first layer having a cavity structure formed therein, and at least one sealing layer situated on at least a part of the cavity structure. The first layer comprises at least one first component made of a crystalline ceramic material and/or a glass material as a matrix, the first layer containing a second component made of a further crystalline ceramic material, with selected mantle areas of the crystals and/or crystal agglomerates of the second component being etched out in such a way that the cavity structure is provided (preferably in the form of a pore and/or tube structure). The sealing layer seals the surface of the first layer in the areas on which it is situated (e.g., above the cavity structure), allowing application of thin-film structures to the cavity structure.
    Type: Grant
    Filed: September 18, 2009
    Date of Patent: September 10, 2013
    Assignee: Micro Systems Engineering GmbH
    Inventors: Dieter Schwanke, Mirco Harnack, Achim Bittner, Ulrich Schmid
  • Patent number: 8524094
    Abstract: The object of the present invention is to provide a masking material for dry etching, which is suitable for fine processing of a magnetic film as thin as a few nm such as NiFe or CoFe constituting a TMR film and capable of simplifying the process for producing a TMR element and reducing production costs related to facilities and materials. This object was solved by a masking material for dry etching of a magnetic material by using a mixed gas of carbon monoxide and a nitrogenous compound as etching gas, which comprises a metal (tantalum, tungsten, zirconium or hafnium) with a melting or boiling point increasing upon conversion thereof into a nitride or carbide.
    Type: Grant
    Filed: July 16, 2008
    Date of Patent: September 3, 2013
    Assignees: National Institute for Materials Science, Japan Science and Technology Corporation, Anelva Corporation
    Inventors: Isao Nakatani, Kimiko Mashimo, Naoko Matsui
  • Patent number: 8524604
    Abstract: A method for forming fine pattern includes sequentially forming a first thin film and a second thin film over a target layer for patterning, forming a partition over the second thin film, removing the partition after forming spacers on sidewalls of the partition, forming first pattern of the second thin film by etching the second thin film of a first region and the second thin film of a second region while exposing the spacers, forming second pattern of the second thin film by using the spacers as masks and etching the first pattern of the second thin film in the first region, forming first thin film pattern by using the first and second patterns of the second thin film as masks in the first and second regions and etching the first thin film, and etching the pattern target layer.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: September 3, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Young-Kyun Jung
  • Patent number: 8524092
    Abstract: A dry adhesive and a method of forming a dry adhesive. The method includes forming an opening through an etch layer and to a barrier layer, expanding the opening in the etch layer at the barrier layer, filling the opening with a material, removing the barrier layer from the material in the opening, and removing the etch layer from the material in the opening.
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: September 3, 2013
    Assignee: Carnegie Mellon University
    Inventors: Metin Sitti, Seok Kim
  • Patent number: 8524093
    Abstract: A method for forming a deep trench includes providing a substrate with a bottom layer and a top layer; performing a first etching process to etch the top layer, the bottom layer and the substrate so as to form a recess; selectively depositing a liner covering the top layer, the bottom layer and part of the substrate in the recess; using the liner as an etching mask to perform a second dry etching to etch the recess unmasked by the liner so as to form a deep trench; performing a selective wet etching to remove the top layer; and performing a post wet etching to enlarge the deep trench.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: September 3, 2013
    Assignee: Nanya Technology Corp.
    Inventor: Chung-Chiang Min
  • Publication number: 20130226178
    Abstract: An electrosurgical vessel sealing instrument having a first and a second opposing jaw member at a distal end thereof, wherein each jaw member includes a jaw housing, an inner tissue engaging surface, and an insulating layer disposed therebetween. The instrument includes the ability to move the jaw members relative to one another from a first position wherein the jaw members are disposed in spaced relation relative to one another to a second position wherein the jaw members cooperate to grasp tissue. The jaws include a tissue-contacting seal plate having obverse and reverse surfaces. A series of ribs extend from the reverse surface of the seal plate into an insulating layer, which, in turn, is affixed to a jaw housing. The ribbed structure of the seal plate reduces thermal spread and edge cutting during vessel sealing procedures, leading to improved surgical outcomes.
    Type: Application
    Filed: February 24, 2012
    Publication date: August 29, 2013
    Applicant: TYCO Healthcare Group LP
    Inventors: Kim V. Brandt, Allan G. Aquino
  • Patent number: 8518276
    Abstract: A process for forming a porous nanoscale membrane is described. The process involves applying a nanoscale film to one side of a substrate, where the nanoscale film includes a semiconductor material; masking an opposite side of the substrate; etching the substrate, beginning from the masked opposite side of the substrate and continuing until a passage is formed through the substrate, thereby exposing the film on both sides thereof to form a membrane; and then simultaneously forming a plurality of randomly spaced pores in the membrane. The resulting porous nanoscale membranes, characterized by substantially smooth surfaces, high pore densities, and high aspect ratio dimensions, can be used in filtration devices, microfluidic devices, fuel cell membranes, and as electron microscopy substrates.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: August 27, 2013
    Assignee: University of Rochester
    Inventors: Christopher C. Striemer, Philippe M. Fauchet, Thomas R. Gaborski, James L. McGrath
  • Patent number: 8518275
    Abstract: Methods for fabricating sub-lithographic, nanoscale microstructures in line arrays utilizing self-assembling block copolymers, and films and devices formed from these methods are provided.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: August 27, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Dan B. Millward, Donald Westmoreland
  • Patent number: 8518278
    Abstract: A method of drying a substrate comprises: supplying a first air flow 4 downwardly in an inclined direction onto the substrate; supplying a second air flow 5 upwardly in an inclined direction onto the substrate, while moving relatively the substrate and the upper and lower blowing portions so that the substrate, from the end area as a front of the substrate, passes between the upper blowing portion and the lower blowing portion; and controlling the first and second air flows such that a velocity component of the second air flow in an upward direction perpendicular to the virtual plane is smaller than a velocity component of the first air flow in a downward direction perpendicular to the virtual plane.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: August 27, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazushige Utsumi
  • Publication number: 20130213930
    Abstract: Droplets of resist material are coated using the ink jet method under conditions that: the viscosity of the resist material is within a range from 8 cP to 20 cP, the surface energy of the resist material is within a range from 25 mN/m to 35 mN/m, the amount of resist material in each of the droplets is within a range from 1 pl to 10 pl, and the placement intervals among the droplets are within a range from 10 ?m to 1000 ?m. A mold is pressed against the surface of the substrate in a He and/or a depressurized atmosphere such that: an intersection angle formed between a main scanning direction of the ink jet method and the direction of the lines of the linear pattern of protrusions and recesses, which is an intersection angle when pressing the mold against the surface of the substrate, is within a range from 30° to 90°.
    Type: Application
    Filed: March 21, 2013
    Publication date: August 22, 2013
    Applicant: FUJIFILM Corporation
    Inventor: FUJIFILM Corporation
  • Publication number: 20130216784
    Abstract: Superhydrophobic films (200, 400) are disclosed. More particularly, durable superhydrophobic films (200, 400) having discrete flat faces (206, 406) spaced apart by valleys (208, 408) where the valleys and faces are covered by nanostructures or nanoparticles (424) are disclosed. Various methods of making such films are also disclosed.
    Type: Application
    Filed: October 20, 2011
    Publication date: August 22, 2013
    Applicant: 3M INNOVATIVE PROPERTIES COMPANY
    Inventors: Jun-Ying Zhang, Terry L. Smith, Katherine A. Brown, Vivian W. Jones, David K. Sayler, Timothy J. Hebrink, Qingbing Wang, Karan Jindal, Encai Hao
  • Patent number: 8512583
    Abstract: A method for making a master disk to be used in the nanoimprinting process to make patterned-media disks uses an electrically conductive substrate and guided self-assembly of a block copolymer to form patterns of generally radial lines and/or generally concentric rings of one of the block copolymer components. A metal is electroplated onto the substrate in the regions not protected by the lines and/or rings. After removal of the block copolymer component, the remaining metal pattern is used as an etch mask to fabricate either the final master disk or two separate molds that are then used to fabricate the master disk.
    Type: Grant
    Filed: September 19, 2011
    Date of Patent: August 20, 2013
    Assignee: HGST Netherlands B.V.
    Inventors: Christian Rene′ Bonhote, Jeffrey S. Lille, Ricardo Ruiz, Georges Gibran Siddiqi
  • Patent number: 8513125
    Abstract: A method for manufacturing a device comprising a structure with nanowires based on a semiconducting material such as Si and another structure with nanowires based on another semiconducting material such as SiGe, and is notably applied to the manufacturing of transistors.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: August 20, 2013
    Assignee: Commissariat a l'energie atomique et aux alternatives
    Inventors: Emeline Saracco, Jean-Francois Damlencourt, Michel Heitzmann
  • Patent number: 8512582
    Abstract: A method of patterning a substrate in accordance with an embodiment of the invention includes forming a plurality of openings within at least one of photoresist and amorphous carbon. The openings are of common outermost cross sectional shape relative one another. Individual of the openings have at least one lateral open dimension having a degree of variability among the plurality. The photoresist with the plurality of openings is exposed to/treated with a plasma effective to both increase the lateral open size of the openings and at least reduce the degree of variability of said at least one open dimension among the openings. Other aspects and implementations are contemplated.
    Type: Grant
    Filed: September 15, 2008
    Date of Patent: August 20, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Mark Kiehlbauch, Brett W. Busch, Tuman Earl Allen
  • Patent number: 8512585
    Abstract: Methods for forming an imprint lithography template are provided. Materials for forming the imprint lithography template may be etched at different rates based on physical properties of the layers. Additionally, reflectance of the materials may be monitored to provide substantially uniform erosion of the materials.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: August 20, 2013
    Assignee: Molecular Imprints, Inc.
    Inventors: Gary F. Doyle, Gerard M. Schmid, Michael N. Miller, Douglas J. Resnick, Dwayne L. LaBrake
  • Patent number: 8514027
    Abstract: A method of multi-stage substrate etching and a terahertz oscillator manufactured by using the method are provided. The method comprises the steps of forming a first mask pattern on any one surface of a first substrate, forming a hole by etching the first substrate using the first mask pattern as an etching mask, bonding, to the first substrate, a second substrate having the same thickness as a depth to be etched, forming a second mask pattern on the second substrate bonded, forming a hole by etching the second substrate using the second mask pattern as an etching mask, and removing an oxide layer having the etching selectivity between the first substrate and the second substrate.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: August 20, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chan Wook Baik, Jong Seok Kim, Seong Chan Jun, Sun Il Kim, Jong Min Kim, Chan Bong Jun, Sang Hun Lee
  • Publication number: 20130210148
    Abstract: A method of producing curved, folded or reconfigurable structures includes providing a polymer film, exposing the polymer film to at least one of patterned radiation or patterned chemical contact, and conditioning the polymer film subsequent to the exposing. The polymer film includes a polymer that is active to cross-linking of polymer chains in response to the exposing. The exposing is performed such that at least one exposed region of the polymer film develops a gradient in an amount of cross-linking of polymer chains along a cross-sectional direction of the polymer film, and the conditioning of the polymer film removes uncross-linked polymer chains to provide a curved, folded or reconfigurable structure.
    Type: Application
    Filed: October 25, 2011
    Publication date: August 15, 2013
    Applicant: The Johns Hopkins University
    Inventors: David H. Gracias, Mustapha Jamal
  • Patent number: 8507841
    Abstract: An optical element having an anti-reflection function includes a base having a first main surface and a second main surface; a plurality of structures composed of projections or recesses and arranged on the first main surface at a fine pitch equal to or less than the wavelength of visible light for which the amount of reflection is to be reduced; and a light-absorbing layer that absorbs the light and that is disposed on the second main surface, wherein the structures are arranged so as to form a plurality of rows of tracks on the first main surface and form a hexagonal lattice pattern, a quasi-hexagonal lattice pattern, a tetragonal lattice pattern, or a quasi-tetragonal lattice pattern, and the structures each have an elliptical cone shape or a truncated elliptical cone shape, the major axis direction of which is a direction in which the tracks extend.
    Type: Grant
    Filed: August 24, 2010
    Date of Patent: August 13, 2013
    Assignee: Sony Corporation
    Inventors: Sohmei Endoh, Kazuya Hayashibe
  • Patent number: 8505358
    Abstract: The present invention relates to a method for adjusting the resonant frequencies of a vibrating microelectromechanical (MEMS) device. In one embodiment, the present invention is a method for adjusting the resonant frequencies of a vibrating mass including the steps of patterning a surface of a device layer of the vibrating mass with a mask, etching the vibrating mass to define a structure of the vibrating mass, determining a first set of resonant frequencies of the vibrating mass, determining a mass removal amount of the vibrating mass and a mass removal location of the vibrating mass to obtain a second set of resonant frequencies of the vibrating mass, removing the mask at the mass removal location, and etching the vibrating mass to remove the mass removal amount of the vibrating mass at the mass removal location of the vibrating mass.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: August 13, 2013
    Assignee: Teledyne Scientific & Imaging, LLC
    Inventors: Jeffrey F. DeNatale, Philip A. Stupar
  • Patent number: 8506767
    Abstract: A thin film device, such as an intravascular stent, is disclosed. The device is formed of a seamless expanse of thin-film (i) formed of a sputtered nitinol shape memory alloy, defining, in an austenitic state, an open, interior volume, having a thickness between 0 5-50 microns, having an austenite finish temperature Af below 37° C.; and demonstrating a stress/strain recovery greater than 3% at 37° C. The expanse can be deformed into a substantially compacted configuration in a martensitic state, and assumes, in its austenitic state, a shape defining such open, interior volume. Also disclosed is a sputtering method for forming the device.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: August 13, 2013
    Assignees: Stryker Corporation, Stryker NV Operations Limited
    Inventors: A. David Johnson, Valery V. Martynov, Vikas Gupta, Arani Bose
  • Patent number: 8506828
    Abstract: A method and system for fabricating a read sensor on a substrate for a read transducer is described. A read sensor stack is deposited on the substrate. A mask is provided on the on the read sensor stack. The mask has a pattern that covers a first portion of the read sensor stack corresponding to the read sensor, covers a second portion of the read sensor stack distal from the read sensor, and exposes a third portion of the read sensor stack between the first and second portions. The read sensor is defined from the read sensor stack. A hard bias layer is deposited. An aperture free mask layer including multiple thicknesses is provided. A focused ion beam scan (FIBS) polishing step is performed on the mask and hard bias layers to remove a portion of the mask and hard bias layers based on the thicknesses.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: August 13, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Masahiro Osugi, Guanghong Luo, Ronghui Zhou, Danning Yang, Dujiang Wan, Ming Jiang
  • Patent number: 8505357
    Abstract: The present invention relates to a method for adjusting the resonant frequencies of a vibrating microelectromechanical (MEMS) device. In one embodiment, the present invention is a method for adjusting the resonant frequencies of a vibrating mass including the steps of patterning a surface of a device layer of the vibrating mass with a mask, etching the vibrating mass to define a structure of the vibrating mass, determining a first set of resonant frequencies of the vibrating mass, determining a mass removal amount of the vibrating mass and a mass removal location of the vibrating mass to obtain a second set of resonant frequencies of the vibrating mass, removing the mask at the mass removal location, and etching the vibrating mass to remove the mass removal amount of the vibrating mass at the mass removal location of the vibrating mass.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: August 13, 2013
    Assignee: Teledyne Scientific & Imaging, LLC
    Inventors: Jeffrey F. DeNatale, Philip A. Stupar
  • Publication number: 20130203253
    Abstract: In a method of forming a pattern according to an embodiment, a first oblique linear pattern arranged at a first oblique angle with respect to a first parallel linear pattern and a second oblique linear pattern arranged at a second oblique angle with respect to the first parallel linear pattern are formed. Then, a pattern is formed in a region in which the first oblique linear pattern overlaps the second oblique linear pattern. A second parallel linear pattern is formed using the first parallel linear pattern and the pattern such that the second parallel linear pattern is divided by the overlap region. At least one of the first and second oblique angles is an angle other than a right angle.
    Type: Application
    Filed: August 29, 2012
    Publication date: August 8, 2013
    Inventors: Ai INOUE, Sayaka Tamaoki, Takashi Obara
  • Patent number: 8501020
    Abstract: A method for making a three-dimensional nano-structure array includes following steps. First, a substrate is provided. Next, a mask is formed on the substrate. The mask is a monolayer nanosphere array or a film defining a number of holes arranged in an array. The mask is then tailored and simultaneously the substrate is etched by the mask. Lastly, the mask is removed.
    Type: Grant
    Filed: December 16, 2010
    Date of Patent: August 6, 2013
    Assignees: Tsinghua University, Hon Hai Precision Industry Co., Ltd.
    Inventors: Zhen-Dong Zhu, Qun-Qing Li, Shou-Shan Fan