Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8586949
    Abstract: A charged particle lithography system for transferring a pattern onto the surface of a target, comprising a main vacuum chamber, a source chamber and an intermediate chamber, both located in the main vacuum chamber, a beam generator for generating a charged particle beam, the beam generator located in the source chamber, and a first aperture array element for generating a plurality of charged particle beamlets from the beam, the first aperture array element located in the intermediate chamber. The system is adapted for maintaining a first pressure in the main vacuum chamber, a second pressure in the intermediate chamber, and a third pressure in the source chamber, and wherein the first pressure is lower than an ambient pressure, the second pressure is lower than the first pressure, and the third pressure is lower than the second pressure.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: November 19, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventors: Laura Dinu-Gürtler, Willem Henk Urbanus, Marco Jan-Jaco Wieland, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8581217
    Abstract: A method capable of monitoring ion implantation. First, an ion beam and a workpiece are provided. Next, implant the workpiece by the ion beam and generate a profile having numerous signals relevant to respectively numerous relative positions between the ion beam and the workpiece, wherein the profile has at least a higher portion, a gradual portion and a lower portion. Therefore, by directly analyzing the profile without referring to a pre-determined profile and without using a profiler measuring the ion beam, some ion beam information may be acquired, such as beam height, beam width, ion beam current distribution on the ion beam cross-section, and so on, and the ion implantation may be monitored real-timely. Furthermore, when numerous workpieces are implanted in sequence, the profile(s) of one or more initially implanted workpiece(s) may be to generate a reference for calibrating the ion implantation of the following workpieces.
    Type: Grant
    Filed: October 8, 2010
    Date of Patent: November 12, 2013
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventors: Don Berrian, Cheng-Hui Shen
  • Patent number: 8584057
    Abstract: A method of data preparation in lithography processes is described. The method includes providing an integrated circuit (IC) layout design in a graphic database system (GDS) grid, converting the IC layout design GDS grid to a first exposure grid, applying a non-directional dither technique to the first exposure, coincident with applying dithering to the first expose grid, applying a grid shift to the first exposure grid to generate a grid-shifted exposure grid and applying a dither to the grid-shifted exposure grid, and adding the first exposure grid (after receiving dithering) to the grid-shifted exposure grid (after receiving dithering) to generate a second exposure grid.
    Type: Grant
    Filed: March 1, 2012
    Date of Patent: November 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Copmany, Ltd.
    Inventors: Pei-Yi Liu, Shy-Jay Lin, Wen-Chuan Wang, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8581186
    Abstract: There is proposed a charged particle beam apparatus including: a plurality of noise removal filters that remove noise of an electrical signal; a measurement unit that measures the contrast-to-noise ratio after applying one of the noise removal filters; and a determination unit that determines a magnitude relationship between the contrast-to-noise ratio measured by the measurement unit and a threshold value set in advance.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: November 12, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Makoto Suzuki, Kazunari Asao
  • Patent number: 8581218
    Abstract: In a particle therapy treatment planning system for creating treatment plan data, the movement of a target (patient's affected area) is extracted from plural tomography images of the target, and the direction of scanning is determined by projecting the extracted movement on a scanning plane scanned by scanning magnets. Irradiation positions are arranged on straight lines parallel with the scanning direction making it possible to calculate a scanning path for causing scanning to be made mainly along the direction of movement of the target. The treatment planning system can thereby realize dose distribution with improved uniformity.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: November 12, 2013
    Assignee: Hitachi, Ltd.
    Inventors: Rintaro Fujimoto, Yoshihiko Nagamine, Masumi Umezawa, Toru Umekawa, Yusuke Fujii, Hiroshi Akiyama
  • Publication number: 20130295695
    Abstract: The present invention for imaging sensor rejuvenation may include a rejuvenation illumination system configured to selectably illuminate a portion of an imaging sensor of an imaging system with illumination suitable for at least partially rejuvenating the imaging sensor degraded by exposure to at least one of extreme ultraviolet light or deep ultraviolet light; and a controller communicatively coupled to the rejuvenation illumination system and configured to direct the rejuvenation illumination system to illuminate the imaging sensor for one or more illumination cycles during a non-imaging state of the imaging sensor.
    Type: Application
    Filed: April 10, 2013
    Publication date: November 7, 2013
    Inventors: Gildardo Delgado, Gary Janik
  • Patent number: 8575867
    Abstract: A charged particle accelerator having a curvilinear beam trajectory maintained solely by a laterally directed, constant electric field; requiring no magnetic field. A method for controlling the trajectory of a charged particle in an accelerator by applying only a constant electric field for beam trajectory control. Curvilinear steering electrodes held at a constant potential create the beam path. A method for making a chip-scale charged particle accelerator involves integrated circuit-based processes and materials. A particle accelerator that can generate 110 KeV may a footprint less than about 1 cm2.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: November 5, 2013
    Assignee: Cornell University
    Inventors: Amit Lal, Shi Yue
  • Patent number: 8575574
    Abstract: An ion implanting system includes an ion generating system that generates ion beams and an ion implanting chamber in which a work-piece that is irradiated with the ion beams generated from the ion generating system is provided and into which the ion beams generated from the ion generating unit are directed. The ion generating system includes a first ion generating unit that irradiates ions to an upper portion of the work-piece and a second ion generating unit irradiating ions to a lower portion of the work-piece. The ion implanting system a can implant ions into a large work-piece through one ion implantation process with ion generating units arranged alternately with respect to each other in the transfer direction of the work-piece.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: November 5, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jin-Hee Kang, Chun-Gi You, Sun Park, Jong-Hyun Park, Yul-Kyu Lee
  • Patent number: 8571175
    Abstract: A system for determining ionization susceptibility including a sample, an x-ray generator configured to generate a pulsed x-ray beam, and focusing optics disposed between the sample and the x-ray generator, the focusing optics being configured to focus the pulsed x-ray beam into a spot on the sample.
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: October 29, 2013
    Assignee: The Boeing Company
    Inventors: Mark Joseph Clemen, Jr., Clarence Lavere Gordon, III, Jerry Lee Wert
  • Patent number: 8569718
    Abstract: In the embodiment a charged particle beam system includes a main chamber, an exchange chamber, an x-y positioning stage housed in the main chamber, a substrate-supporting structure supported by or provided by said stage and moveable in first and second perpendicular directions of travel between limits which define a field of travel and a substrate handling device housed inside the main chamber for loading and unloading a substrate into and out of the main chamber, the device comprising a bar and a side member for supporting the substance to one side of the bar. A method of loading a substrate in a charged particle beam system is also disclosed.
    Type: Grant
    Filed: October 27, 2010
    Date of Patent: October 29, 2013
    Assignee: Nanobeam Limited
    Inventor: Tao Zhang
  • Patent number: 8569151
    Abstract: A method of formation of nanowires at a surface of a substrate attached to a solid immersion lens. The method includes formation of a catalyst element at the surface of the substrate and growth of nanowires from the catalyst element formed at the surface of the substrate. The catalyst element is a metal nanoparticle and the formation of the catalyst element at the surface of the substrate deposits the metal nanoparticle using a light beam focused by the solid immersion lens at the surface of the substrate.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: October 29, 2013
    Assignee: Commissariat a l'Energie Atomique et aux Energies Alternatives
    Inventors: Delphine Neel, Pierre Ferret, Stéphane Getin
  • Patent number: 8563951
    Abstract: Exposure systems include a beam generator, which is configured to irradiate source beams in a direction of an object to be exposed by the source beams, along with first and second beam shapers. The first beam shaper, which is disposed proximate the beam generator, has a first aperture therein positioned to pass through the source beams received from the beam generator. The second beam shaper is disposed proximate the first beam shaper. The second beam shaper includes a plate having a second aperture therein, which is positioned to receive the source beams that are passed through the first aperture of the first beam shaper. The second beam shaper further includes a first actuator and a first shift screen mechanically coupled to the first actuator.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: October 22, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin Choi, Jin-Ha Jeong, Vladimir Urazaev, Hea-Yun Lee
  • Patent number: 8563927
    Abstract: A shielding member for a charged particle beam apparatus includes a conductive substrate; and a through hole extending through the conductive substrate. The conductive substrate is comprised of a material having a specific electrical resistivity in a range from about 106 ?cm to about 1012 ?cm.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: October 22, 2013
    Assignee: ICT Integrated Circuit Testing Gesellschaft fur Halbleiterpruftechnik mbH
    Inventors: Dieter Winkler, Stefan Lanio
  • Patent number: 8563893
    Abstract: A laser processing apparatus comprises a converging lens 31 for converging processing laser light and rangefinding laser light L2 toward a wafer 1, an actuator for actuating the lens 31, a shaping optical system 49 for adding astigmatism to reflected light L3 of the rangefinding laser light, a quadrant photodiode 42 for receiving the reflected light L3 and outputting voltage values corresponding to its light quantities, and a controller for regulating the actuator, and positions a converging point P2 of the rangefinding laser light L2 between a focal point P0 of the lens and the lens 31, so as to make it possible to form a modified region at a position deeper from the front face 3, thereby suppressing adverse effects due to the reflected light L3. The control is based on an arithmetic value subjected to a division by a sum of the voltage values, so as to prevent the arithmetic value from being changed by the quantity of reflected light.
    Type: Grant
    Filed: December 12, 2006
    Date of Patent: October 22, 2013
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Koji Kuno, Kenichi Muramatsu, Kazuhiro Atsumi, Tetsuya Osajima
  • Patent number: 8558486
    Abstract: A d.c. charged particle accelerator comprises accelerator electrodes separated by insulating spacers defining acceleration gaps between adjacent pairs of electrodes. Individually regulated gap voltages are applied across each adjacent pair of accelerator electrodes. In embodiments, the individually regulated gap voltages are generated by electrically isolated alternators mounted on a common rotor shaft driven by an electric motor. Alternating power outputs from the alternators provide inputs to individual regulated d.c. power supplies to generate the gap voltages. The power supplies are electrically isolated and have outputs connected in series across successive pairs of accelerator electrodes. The described embodiment enables an ion beam to be accelerated to high energies and high beam currents, with good accelerator stability.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: October 15, 2013
    Assignee: GTAT Corporation
    Inventors: Theodore H. Smick, Geoffrey Ryding, William H. Park, Ronald Horner
  • Patent number: 8558174
    Abstract: A processing system includes a particle beam column for generating a particle beam directed to a first processing location; a laser system for generating a laser beam directed to a second processing location located at a distance from the first processing location; and a protector including an actuator and a plate connected to the actuator. The actuator is configured to move the plate between a first position in which it protects a component of the particle beam column from particles released from the object by the laser beam and a second position in which the component of the particle beam column is not protected from particles released from the object by the laser beam.
    Type: Grant
    Filed: December 31, 2012
    Date of Patent: October 15, 2013
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Holger Doemer, Stefan Martens, Walter Mack
  • Patent number: 8558198
    Abstract: A beam line system includes a hollow tube and a plurality of protruding structures. The hollow tube has an inlet and an outlet. An ion beam emitted by the ion implanter is introduced into the hollow tube through the inlet and exited from the hollow tube through the outlet. The protruding structures are formed on an inner wall of the hollow tube. Each of the protruding structures has a reflective surface for reflecting a portion of the ion beam.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: October 15, 2013
    Assignee: United Microelectronics Corp.
    Inventor: Boon-Chau Tong
  • Patent number: 8558195
    Abstract: Methods and apparatus provide for: a source simultaneously producing first plasma, which includes a first species of ions, and second plasma, which includes a second, differing, species of ions; an accelerator system including an analyzer magnet, which cooperate to simultaneously: (i) accelerate the first and second plasma along an initial axis, (ii) alter a trajectory of the first species of ions from the first plasma, thereby producing at least one first ion beam along a first axis, which is transverse to the initial axis, and (iii) alter a trajectory of the second species of ions from the second plasma, thereby producing at least one second ion beam along a second axis, which is transverse to the initial axis and the first axis; and a beam processing system operating to simultaneously direct the first and second ion beams toward a semiconductor wafer such that the first and second species of ions bombard an implantation surface of the semiconductor wafer to create an exfoliation layer therein.
    Type: Grant
    Filed: November 19, 2010
    Date of Patent: October 15, 2013
    Assignee: Corning Incorporated
    Inventor: Sarko Cherekdjian
  • Patent number: 8558197
    Abstract: An ion implanting system includes an ion beam generator configured for generating a first ion beam; a mass separation device configured for isolating a second ion beam including required ions from the first ion beam; a holder device configured for holding a plurality of substrates, wherein the holder device and the second ion beam reciprocate relative to each other along a first direction in straight line or arc to make the plurality of substrates pass across a projection region of the second ion beam; and a first detector configured for obtaining relevant parameters of the second ion beam. The above ion beam implanting system may increase the ion beam utilization rate. The ion implanting system further comprises a second detector arranged on the holder device which could fully scan across the projection range of the second ion beam and obtaining the relevant parameters of the second ion beam.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: October 15, 2013
    Assignee: Advanced Ion Beam Technology, Inc.
    Inventor: Heng-Gung Chen
  • Patent number: 8558196
    Abstract: A charged particle lithography system for pattern transfer onto a target surface, comprising a beam generator for generating a plurality of beamlets, and a plurality of aperture array elements comprising a first aperture array, a blanker array, a beam stop array, and a projection lens array. Each aperture array element comprises a plurality of apertures arranged in a plurality of groups, wherein the aperture groups of each aperture array element form beam areas distinct and separate from non-beam areas formed between the beam areas and containing no apertures for beamlet passage. The beam areas are aligned to form beam shafts, each comprising a plurality of beamlets, and the non-beam areas are aligned to form non-beam shafts not having beamlets present therein. The first aperture array element is provided with cooling channels in the non-beam areas for transmission of a cooling medium for cooling the array element.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: October 15, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan-Jaco Wieland, Alexander Hendrik Vincent Van Veen, Hendrik Jan De Jong
  • Patent number: 8552405
    Abstract: A charged particle beam writing apparatus includes a unit to calculate a gradient of a convolution amount that is calculated from a convolution operation between an area density and a distribution function, a unit to calculate a small influence radius phenomenon dose correction coefficient that corrects for dimension variation due to a phenomenon whose influence radius is on an order of microns or less, by using the convolution amount and the gradient, a unit to calculate a proximity effect dose correction coefficient that corrects for dimension variation due to a proximity effect, by using a first function depending on the small influence radius phenomenon dose correction coefficient, a unit to calculate a dose by using the proximity effect dose correction coefficient and the small influence radius phenomenon dose correction coefficient, and a unit to write a figure pattern concerned on a target object, based on the dose.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: October 8, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Yasuo Kato, Jun Yashima
  • Patent number: 8552404
    Abstract: In an ion implantation method, ion implantation into a substrate is performed while changing a relative positional relation between an ion beam and the substrate. A first ion implantation process in which a uniform dose amount distribution is formed within the substrate and a second ion implantation process in which a non-uniform dose amount distribution is formed within the substrate are performed in a predetermined order. Moreover, a cross-sectional size of an ion beam irradiated on the substrate during the second ion implantation process is set smaller than a cross-sectional size of an ion beam irradiated on the substrate during the first ion implantation process.
    Type: Grant
    Filed: March 22, 2011
    Date of Patent: October 8, 2013
    Assignee: Nissin Ion Equipment Co., Ltd
    Inventors: Hirofumi Asai, Yoshikazu Hashino
  • Patent number: 8552406
    Abstract: An apparatus and method for using high beam currents in FIB circuit edit operations, without the generation of electrostatic discharge events. An internal partial chamber is disposed over the circuit to be worked on by the FIB. The partial chamber has top and bottom apertures for allowing the ion beam to pass through, and receives a gas through a gas delivery nozzle. A non-reactive gas, or a combination of a non-reactive gas and a reactive gas, is added to the FIB chamber via the partial chamber, until the chamber reaches a predetermined pressure. At the predetermined pressure, the gas pressure in the partial chamber will be much greater than that of the chamber, and will be sufficiently high such that the gas molecules will neutralize charging induced by the beam passing through the partial chamber.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: October 8, 2013
    Assignee: Fibics Incorporated
    Inventors: Michael William Phaneuf, Ken Guillaume Lagarec, Alexander Krechmer
  • Patent number: 8552409
    Abstract: To provide an ion implantation device capable of correcting the temperature of the wafer. The ion implantation device of the present invention has: an irradiation means that radiates ions; a retention means that includes a disk 112 that retains at least one wafer W; a thermopile 122 that detects, in a noncontact manner, temperature information for a wafer W retained on disk 112; a cooling medium supply unit that enables heat exchange for a wafer W retained on disk 112; and a control unit that calculates the surface temperature of a wafer W retained on disk 112 based on the temperature information detected by thermopile 122 and that determines whether the calculated surface temperature for the wafer is within a permissible temperature range.
    Type: Grant
    Filed: January 18, 2013
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventor: Kazuhiro Kandatsu
  • Patent number: 8546767
    Abstract: A multi-beam pattern definition device (102) for use in a particle-beam processing or inspection apparatus is configured to be irradiated with a beam (lp,bp) of electrically charged particles so as to form a number of beamlets to be imaged to a target. An aperture array means (202) comprises at least two sets of apertures (221, 222) for defining respective beamlets (b1-b5), wherein the sets of apertures comprise a plurality of apertures arranged in interlacing arrangements and the apertures of different sets are offset to each other by a common displacement vector (d12). An opening array means (201) has a plurality of openings (210) configured for the passage of a subset of beamlets corresponding to one of the sets of apertures but lacking openings (being opaque to the beam) at locations corresponding to the other sets of apertures.
    Type: Grant
    Filed: December 2, 2010
    Date of Patent: October 1, 2013
    Assignee: IMS Nanofabrication AG
    Inventors: Elmar Platzgummer, Hans Loeschner
  • Publication number: 20130244449
    Abstract: A picosecond laser beam shaping assembly is disclosed for shaping a picosecond laser beam for use in patterning (e.g., scribing) semiconductor devices. The assembly comprises a pulsed fibre laser source of picosecond laser pulses, a harmonic conversion element for converting laser pulses at a first laser wavelength having a first spectral bandwidth to laser pulses at a second laser wavelength having a second spectral bandwidth, and a beam shaping apparatus for shaping the laser beam at the second laser wavelength, the beam shaping apparatus having a spectral bandwidth that substantially corresponds to the second spectral bandwidth so as to produce a laser beam having a substantially rectangular cross-sectional profile.
    Type: Application
    Filed: September 6, 2011
    Publication date: September 19, 2013
    Applicant: FIANIUM LTD.
    Inventors: Brian W. Baird, Timothy D. Gerke
  • Patent number: 8525075
    Abstract: The present invention is to provide a laser irradiation apparatus for forming a laser beam which has a shape required for the annealing and which has homogeneous energy distribution, by providing a slit at an image-formation position of a diffractive optical element, wherein the slit has a slit opening whose length is changeable. The laser irradiation apparatus comprises a laser oscillator, a diffractive optical element, and a slit, wherein the slit has a slit opening whose length in a major-axis direction thereof is changeable, wherein a laser beam is delivered obliquely to a substrate, and wherein the laser beam is a continuous wave solid-state, gas, or metal laser, or a pulsed laser with a repetition frequency of 10 MHz or more.
    Type: Grant
    Filed: May 4, 2005
    Date of Patent: September 3, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8527913
    Abstract: A method for resizing a pattern to be written by using lithography technique includes calculating a first dimension correction amount of a pattern for correcting a dimension error caused by a loading effect, for each small region made by virtually dividing a writing region of a target workpiece into meshes of a predetermined size, based on an area density of the each small region, calculating a second dimension correction amount in accordance with a line width dimension of the pattern to be written in the each small region, correcting the first dimension correction amount by using the second dimension correction amount, and resizing the line width dimension of the pattern by using a corrected first dimension correction amount, and outputting a result of the resizing.
    Type: Grant
    Filed: January 12, 2012
    Date of Patent: September 3, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Jun Yashima, Junichi Suzuki, Takayuki Abe
  • Patent number: 8525137
    Abstract: An improved method and apparatus for S/TEM sample preparation and analysis. Preferred embodiments of the present invention provide improved methods for TEM sample creation, especially for small geometry (<100 nm thick) TEM lamellae. A novel sample structure and a novel use of a milling pattern allow the creation of S/TEM samples as thin as 50 nm without significant bowing or warping. Preferred embodiments of the present invention provide methods to partially or fully automate TEM sample creation, to make the process of creating and analyzing TEM samples less labor intensive, and to increase throughput and reproducibility of TEM analysis.
    Type: Grant
    Filed: October 22, 2007
    Date of Patent: September 3, 2013
    Assignee: Fei Company
    Inventors: Jeff Blackwood, Stacey Stone, Jason Arjavac
  • Patent number: 8519364
    Abstract: A positioning system for precise stage is provided. It includes a designed pattern on a stage; an electron beam column generating a focused electron beam to scan the designed pattern and produce electron signal; an electron detection unit to detect the electronic signal; and a control unit converting the electron signal to a clock signal to determine the relative position of the electron beam column and the designed pattern, so as to adjust the displacement of the stage. A nanometer scale positioning method for a precise stage is provided, which can resolve the problem of mechanical drift of the stage when the stage is multi-axis positioning or rotating.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: August 27, 2013
    Assignee: National Synchrontron Radiation Research Center
    Inventors: Gung-Chian Yin, Te-Hui Lee
  • Patent number: 8518720
    Abstract: In a UV process tool for semiconductor processing, a temperature-dependent signal may be used as a monitor signal for determining the momentary irradiance of the UV radiation source. Consequently, a fast and reliable monitoring and/or controlling of the irradiance of UV process tools may be accomplished.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: August 27, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Ulrich Mayer, Thorsten Schepers
  • Patent number: 8519334
    Abstract: The present invention provides a contact hole observation technology for avoiding a situation in which it is difficult to observe a contact hole as a nonuniform charge is formed in the contact hole due to a tilted electron beam during a process for forming a preliminary charge on a sample. The present invention also provides a scanning electron microscope based on such a contact hole observation technology. During a preliminary charge process, an electron beam is allowed to become incident in a plurality of directions to perform a precharge, thereby reducing a region within the contact hole that is not irradiated with the electron beam. This reduces the number of secondary electrons that become lost on the wall surface of the contact hole, thereby making it possible to acquire information about the bottom of the contact hole.
    Type: Grant
    Filed: April 20, 2011
    Date of Patent: August 27, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Satoshi Tadaka, Naomasa Suzuki, Naoma Ban, Tatsuichi Kato
  • Patent number: 8513625
    Abstract: A photolithographic track system and method for semiconductor wafer manufacture having a plurality of stations for receiving a wafer for sequential processing, including a first group of stations for performing at least a part of a photolithography process. A metrology station is provided in a position of the track system after the first group of stations, for determining whether the processed wafer is within tolerance for at least one critical dimension. If not within tolerance, the wafer is moved by the track system to a stripping station for removal of at least one layer and a return to the beginning of the first group of stations for repeating the performance of a photolithography process. Parameters may also be adjusted for purposes of the repeated performance of the process. If within tolerance, the wafer may be moved for further processing, for example, baking or off loading.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: August 20, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Stephane Dana, Joseph Bach
  • Patent number: 8513626
    Abstract: Patterning effects on a substrate are reduced during radiation-based heating by filtering the radiation source or configuring the radiation source to produce radiation having different spectral characteristics. For the filtering, an optical filter may be used to truncate specific wavelengths of the radiation. The different configurations of the radiation source include a combination of one or more continuum radiation sources with one or more discrete spectrum sources, a combination of multiple discrete spectrum sources, or a combination of multiple continuum radiation sources. Furthermore, one or more of the radiation sources may be configured to have a substantially non-normal angle of incidence or polarized to reduce patterning effects on a substrate during radiation-based heating.
    Type: Grant
    Filed: January 12, 2007
    Date of Patent: August 20, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Balasubramanian Ramachandran, Joseph Michael Ranish, Aaron Muir Hunter
  • Patent number: 8512586
    Abstract: A method and system for performing gas cluster ion beam (GCIB) etch processing of various materials is described. In particular, the GCIB etch processing includes setting one or more GCIB properties of a GCIB process condition for the GCIB to achieve one or more target etch process metrics.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: August 20, 2013
    Assignee: TEL Epion Inc.
    Inventors: Martin D. Tabat, Christopher K. Olsen, Yan Shao, Ruairidh MacCrimmon
  • Patent number: 8513627
    Abstract: An assist gas having a very small amount and a uniform concentration is fed by a charged particle beam apparatus, in which a supply amount of gas is intermittently fed by a massflow controller, and gas is passed through a diffusion mechanism connected to the massflow controller, whereby an assist gas having a very small amount and a uniform concentration.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: August 20, 2013
    Assignee: SII NanoTechnology Inc.
    Inventor: Masayuki Maruo
  • Patent number: 8507856
    Abstract: A pattern measuring method and device are provided which set a reference position for a measuring point to be measured by a scanning electron microscope and the like, based on position information of a reference pattern on an image acquired from the scanning electron microscope and based on a positional relation, detected by using design data, between the measuring point and the reference pattern formed at a position isolated from the measuring point.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: August 13, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takumichi Sutani, Ryoichi Matsuoka, Hidetoshi Morokuma, Hitoshi Komuro, Akiyuki Sugiyama
  • Patent number: 8507881
    Abstract: A nanolithography system comprising a novel optical printing head suitable for high throughput nanolithography. This optical head enables a super-resolution lithographic exposure tool that is otherwise compatible with the optical lithographic process infrastructure. The exposing light is transmitted through specially designed super-resolution apertures, of which the “C-aperture” is one example, that create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to the wafer to be exposed. In one embodiment, an illumination source is divided into parallel channels that illuminate each of the apertures. Each of these channels can be individually modulated to provide the appropriate exposure for the particular location on the wafer corresponding to the current position of the aperture. A data processing system is provided to re-interpret the layout data into a modulation pattern used to drive the individual channels.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: August 13, 2013
    Inventors: Franklin Mark Schellenberg, Keith Edward Bennett
  • Patent number: 8502172
    Abstract: A method and system for forming and using a fiducial on a sample to locate an area of interest on the sample, the method comprising forming a fiducial by depositing a block of material on a sample proximal to an area of interest on the sample, the block of material extending from the surface of the sample to a detectable extent above the surface of the sample; and milling, using a charged particle beam, a predetermined pattern into at least two exposed faces of the block of material; subsequent to forming the fiducial, detecting the location of the area of interest by detecting the location of the fiducial; and subsequent to detecting the location of the area of interest, imaging or milling the area of interest with a charged particle beam.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: August 6, 2013
    Assignee: FEI Company
    Inventors: Cliff Bugge, Greg Clark, Todd Hanson, Scott Edward Fuller, Jason Donald
  • Patent number: 8502145
    Abstract: The invention provides a system for achieving detection and measurement of film thickness reduction of a resist pattern with high throughput which can be applied to part of in-line process management. By taking into consideration the fact that film thickness reduction of the resist pattern leads to some surface roughness of the upper surface of the resist, a film thickness reduction index value is calculated by quantifying the degree of roughness of the part corresponding to the upper surface of the resist on an electron microscope image of the resist pattern which has been used in the conventional line width measurement. The amount of film thickness reduction of the resist pattern is estimated by applying the calculated index value to a database previously made for relating a film thickness reduction index value to an amount of film thickness reduction of the resist pattern.
    Type: Grant
    Filed: July 5, 2012
    Date of Patent: August 6, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Mayuka Iwasaki, Chie Shishido, Maki Tanaka
  • Patent number: 8502144
    Abstract: A system for controlling a tool-to-tool disparity between a plurality of scanning electron microscopes includes a measuring unit for measuring a tool-to-tool disparity between plural scanning electron microscopes based on information extracted from secondary electron images which are captured by imaging a reference pattern, a tool state monitoring unit for monitoring tool states of each of the plural scanning electron microscopes, and an output unit for displaying on a screen a relationship between the tool-to-tool disparity between the plural scanning electron microscopes and tool states of each of the plural scanning electron microscopes monitored by the tool state monitoring unit. The tool state monitoring unit monitors the tool states of each of the plural scanning electron microscopes while imaging the reference pattern by using each of the plural scanning electron microscopes.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: August 6, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Mayuka Oosaki, Chie Shishido, Hiroki Kawada, Tatsuya Maeda
  • Patent number: 8502175
    Abstract: A charged particle beam pattern forming apparatus, includes a charge amount distribution calculation unit configured to calculate a charge amount distribution charged by vertical incidence of a charged particle beam on a pattern forming region of a target object; a position correction unit configured to calculate, using the charge amount distribution charged, a corrected position of each pattern forming position corrected for a misregistration amount including a misregistration amount dependent on a deflection position where the charged particle beam is deflected, the misregistration amount caused by an amount of charge; and a pattern generator configured to form a pattern in the corrected position by using the charged particle beam.
    Type: Grant
    Filed: June 28, 2011
    Date of Patent: August 6, 2013
    Assignee: NuFlare Technology, Inc.
    Inventors: Noriaki Nakayamada, Seiji Wake, Hideo Inoue, Akihito Anpo
  • Patent number: 8497000
    Abstract: Disclosed is a method for modifying wettability of a surface of an inorganic material, the method comprising the steps of: preparing an inorganic material with a surface; and charging the surface of the inorganic material with positive surface charges obtained from photoelectron-emission by an X-ray irradiation to the surface of the inorganic material.
    Type: Grant
    Filed: January 22, 2009
    Date of Patent: July 30, 2013
    Assignee: Postech Academy-Industry Foundation
    Inventors: Yong Bum Kwon, Byung Mook Weon, Kyu Hwang Won, Jung Ho Je
  • Patent number: 8492732
    Abstract: A multi charged particle beam writing apparatus according to one aspect of the present invention includes a first aperture member to form multiple beams, a blanker array provided with a plurality of blankers which respectively perform blanking deflection of a corresponding beam in the multiple beams, a first electromagnetic lens and a second electromagnetic lens arranged between the first aperture member and the blanker array, a second aperture member arranged between the first electromagnetic lens and the second electromagnetic lens and at a position of a convergence point of the multiple beams and configured to restrict passage of charged particles deviated from the convergence point, and a third aperture member to block each beam which was deflected to be in a beam off state by the plurality of blankers.
    Type: Grant
    Filed: October 2, 2012
    Date of Patent: July 23, 2013
    Assignee: NuFlare Technology, Inc.
    Inventor: Munehiro Ogasawara
  • Patent number: 8492736
    Abstract: A quartz window with an interior plenum is operable as a shutter or UV filter in a degas chamber by supplying the plenum with an ozone-containing gas. Pressure in the plenum can be adjusted to block UV light transmission into the degas chamber or adjust transmittance of UV light through the window. When the plenum is evacuated, the plenum allows maximum transmission of UV light into the degas chamber.
    Type: Grant
    Filed: June 9, 2010
    Date of Patent: July 23, 2013
    Assignee: Lam Research Corporation
    Inventors: Yen-Kun Victor Wang, Shang-I Chou, Jason Augustino
  • Patent number: 8487280
    Abstract: A first species is implanted into an entire surface of a workpiece and helium is implanted into this entire surface with a non-uniform dose. The first species may be, for example, hydrogen, helium, or nitrogen. The helium has a higher dose at a portion of a periphery of the workpiece. When the workpiece is split, this split is initiated at the periphery with the higher dose. The non-uniform dose may be formed by altering a scan speed of the workpiece or an ion beam current of the helium. In one instance, the non-uniform dose of the helium is larger than a uniform dose of the hydrogen.
    Type: Grant
    Filed: October 21, 2010
    Date of Patent: July 16, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Gary E. Dickerson, Julian G. Blake
  • Patent number: 8487285
    Abstract: In a deep-ultraviolet tight source includes sapphire substrate, a wide band gap semiconductor layer having a wavelength smaller than 300 nm, formed on the sapphire substrate, and en electron beam source for irradiating the wide band gap semiconductor layer with an electron beam. The wide band gap semiconductor layer is configured to be irradiated with the electron beam to emit deep-ultraviolet light through the sapphire substrate. A thickness t1 of the sapphire substrate satisfies: t1??·E3 is an energy of the electron beam (keV); and ? is 1 ?m/(keV)3.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: July 16, 2013
    Assignee: Stanley Electric Co., Ltd.
    Inventors: Takahiro Matsumoto, Sho Iwayama
  • Patent number: 8481960
    Abstract: A system and method are disclosed for controlling an ion beam. A deceleration lens is disclosed for use in an ion implanter. The lens may include a suppression electrode, first and second focus electrodes, and first and second shields. The shields may be positioned between upper and lower portions of the suppression electrode. The first and second shields are positioned between the first focus electrode and an end station of the ion implanter. Thus positioned, the first and second shields protect support surfaces of said first and second focus electrodes from deposition of back-streaming particles generated from said ion beam. In some embodiments, the first and second focus electrodes may be adjustable to enable the electrode surfaces to be adjusted with respect to a direction of the ion beam. By adjusting the angle of the focus electrodes, parallelism of the ion beam can be controlled. Other embodiments are described and claimed.
    Type: Grant
    Filed: June 23, 2011
    Date of Patent: July 9, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Svetlana Radovanov, Jason Schaller, Richard White, Kevin Verrier, James Blanchette, Bon-Woong Koo, Eric Hermanson, Kevin Daniels
  • Patent number: 8481959
    Abstract: Systems and methods of an ion implant apparatus include an ion source for producing an ion beam along an incident beam axis. The ion implant apparatus includes a beam deflecting assembly coupled to a rotation mechanism that rotates the beam deflecting assembly about the incident beam axis and deflects the ion beam. At least one wafer holder holds target wafers and the rotation mechanism operates to direct the ion beam at one of the at least one wafer holders which also rotates to maintain a constant implant angle.
    Type: Grant
    Filed: February 15, 2011
    Date of Patent: July 9, 2013
    Inventor: John Ruffell
  • Patent number: 8476606
    Abstract: A drawing apparatus for drawing a pattern on a substrate with a plurality of charged-particle beams, includes a blanking aperture array including a plurality of apertures, a blanking unit including a plurality of blankers and configured to respectively deflect the plurality of charged-particle beams by the plurality of blankers to cause the blanking aperture array to block the respectively deflected plurality of charged-particle beams, a generating circuit configured to generate a blanking instruction in a serial format, and a serial transmission cable configured to transmit the blanking instruction generated by the generating circuit to the blanking unit, wherein the blanking unit is configured to convert the blanking instruction in the serial format, that has been received via the serial transmission cable, into a blanking instruction in a parallel format, and to drive the plurality of blankers based on the blanking instruction in the parallel format.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: July 2, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yoshihiro Hirata, Toru Yamazaki