Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8669537
    Abstract: A charged particle beam writing apparatus and a charged particle beam writing method capable of shortening the time necessary to generate shot data and improving writing throughput. A graphic pattern defined in write data is divided into graphics represented in shot units. The divided graphics are temporarily stored in a memory and are distributed to their corresponding subfield areas while developing position information defined in a state of being compressed to write data. When each pattern is written by multi-pass writing, graphics divided at a first pass are used for distribution to subfield areas after a second pass.
    Type: Grant
    Filed: September 16, 2009
    Date of Patent: March 11, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Jun Yashima
  • Patent number: 8658993
    Abstract: A self-powered ‘near field’ lithographic system 100 includes three primary components, namely, a thin film or emitter substrate 110 including a radioactive material (e.g., a radioisotope 112), a target substrate 120 which carries an energy-modifiable layer 122 (e.g., photo-resist) and a stencil (e.g., 130) that is either positioned between the emitter and target substrates fabricated upon and defined in the emitter substrate. The stencil is made from a material capable of blocking particles emitted through radioactive decay from the radioisotope of the emitter substrate. The stencil includes openings or vias 132 patterned to permit selective transmission of the particles emitted through radioactive decay from the radioisotope of the emitter substrate 110, and the stencil is preferably placed up against (or very close to) the target substrate 120.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: February 25, 2014
    Assignee: Cornell University
    Inventor: Amit Lal
  • Patent number: 8658051
    Abstract: A method of improving lithography resolution on a semiconductor, including the steps of providing a substrate on which a protecting layer, a first etching layer and a photoresist layer are sequentially formed; patterning the photoresist layer to form an opening so as to partially reveal the first etching layer; implanting a first ion into the revealed first etching layer to form a first doped area; and implanting a second ion into the revealed first etching layer to form a second doped area, wherein the first doped area is independent from the second doped area is provided.
    Type: Grant
    Filed: May 12, 2008
    Date of Patent: February 25, 2014
    Assignee: Nanya Technology Corp.
    Inventors: Kuo-Yao Cho, Wen-Bin Wu, Ya-Chih Wang, Chiang-Lin Shih, Chao-Wen Lay, Chih-Huang Wu
  • Publication number: 20140051191
    Abstract: An extremely non-degenerate two photon absorption (END-2PA) method and apparatus provide for irradiating a semiconductor material substrate simultaneously with two photons each of different energy less than a bandgap energy of the semiconductor material substrate but in an aggregate greater than the bandgap energy of the semiconductor material substrate. A ratio of a higher energy photon energy to a lower energy photon energy is at least about 3.0. Alternatively, or as an adjunct, the higher energy photon has an energy at least about 75% of the bandgap energy and the lower energy photon has an energy no greater than about 25% of the bandgap energy.
    Type: Application
    Filed: November 19, 2012
    Publication date: February 20, 2014
    Applicant: UNIVERSITY OF CENTRAL FLORIDA RESEARCH FOUNDATION INC.
    Inventor: University of Central Florida Research Foudation I
  • Patent number: 8653485
    Abstract: The invention relates to a charged particle multi-beamlet lithographic system for exposing a target using a plurality of beamlets. The system comprises a beamlet generator for generating a plurality of beamlets, a beamlet blanker for controllably blanking beamlets, and an array of projection lens systems for projecting unblanked beamlets on to the surface of the target. The beamlet generator comprises at least one charged particle source for generating a charged particle beam, a sub-beam generator for defining a plurality of sub-beams from the charged particle beam, a sub-beam manipulator array for influencing the sub-beams, and an aperture array for defining beamlets from the sub-beams.
    Type: Grant
    Filed: February 16, 2012
    Date of Patent: February 18, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan-Jaco Wieland, Alexander Hendrik Vincent Van Veen
  • Patent number: 8653487
    Abstract: A lithography apparatus includes a generating unit configured, by receiving character information which specifies a shape of an identification figure representing identification information of a target object, to generate pattern writing data of the identification figure on the basis of the character information; a synthesizing unit configured, by receiving a pattern writing data of a pattern written on the target object, to synthesize the pattern writing data of the pattern and the pattern writing data of the identification figure; and a pattern writing unit configured to write the pattern and the identification figure on the target object on the basis of the synthesized pattern writing data.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: February 18, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Hitoshi Sunaoshi
  • Patent number: 8653483
    Abstract: According to one embodiment, a mask manufacturing device includes a positional-deviation calculating unit that acquires positional deviation information between an actual position of a pattern formed on a mask substrate and a design position decided at the time of designing the pattern to a predetermined area of a square on the mask substrate; an irradiating-condition calculating unit that calculates an irradiating condition including an irradiating amount and an irradiating position of radiation to correct the positional deviation calculated to the predetermined area of a square on the mask substrate by using positional-deviation correction information, which indicates a relationship between the irradiating amount and the irradiating position of the radiation to the mask substrate and a pattern position change after irradiation of the radiation; and an irradiating unit that irradiates the mask substrate with the radiation under the irradiating condition calculated by the irradiating-condition calculating uni
    Type: Grant
    Filed: February 15, 2013
    Date of Patent: February 18, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Patent number: 8648318
    Abstract: The invention relates to a multiple beam charged particle optical system, comprising an electrostatic lens structure with at least one electrode, provided with apertures, wherein the effective size of a lens field effected by said electrode at a said aperture is made ultimately small. The system may comprise a diverging charged particle beam part, in which the lens structure is included. The physical dimension of the lens is made ultimately small, in particular smaller than one mm, more in particular less than a few tens of microns. In further elaboration, a lens is combined with a current limiting aperture, aligned such relative to a lens of said structure, that a virtual aperture effected by said current limiting aperture in said lens is situated in an optimum position with respect to minimizing aberrations total.
    Type: Grant
    Filed: March 24, 2011
    Date of Patent: February 11, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Pieter Kruit, Yanxia Zhang, Martijn J. Van Bruggen, Stijn Willem Herman Karel Steenbrink
  • Patent number: 8642979
    Abstract: A radiotherapy device having a gantry rotatably mounted to the radiotherapy device with a bearing and a counterweight attached to the gantry. The counterweight has a spatial position relative to the gantry. The spatial position of the counterweight is movable. The device is configured to generate a compensating torque on the bearing, the compensating torque based on a movement of the spatial position of the counterweight, to balance the gantry.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: February 4, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventor: Marcus Gutfleisch
  • Patent number: 8644571
    Abstract: The therapeutic treatment of a patient using intensity-modulated proton therapy is described. In one example, a method of creating a proton treatment plan is presented that divides volumes of interest into sub-volumes, applies dose constraints to the sub-volumes, finds one or more feasible configurations of a proton therapy system, and selects a proton beam configuration that improves or optimizes one or more aspects of proton therapy. In some implementations, the method of dividing volumes into sub-volumes includes creating fractional sub-volumes based at least in part on proximity to a target volume boundary. In some implementations, the method of finding an improved or optimal proton beam configuration from a set of feasible configurations includes finding a minimum of a cost function that utilizes weighting factors associated with treatment sites.
    Type: Grant
    Filed: December 5, 2012
    Date of Patent: February 4, 2014
    Inventors: Reinhard W. Schulte, Regina Burachik, Yalcin Kaya
  • Patent number: 8643058
    Abstract: An electro-optical device can include a plurality of nanocrystals positioned between a first electrode and a second electrode. The nanocrystal and at least one electrode can have a band gap offset sufficient to inject a charge carrier from the first electrode or second electrode into the nanocrystal. The device can be a secondary photoconductor.
    Type: Grant
    Filed: July 31, 2007
    Date of Patent: February 4, 2014
    Assignee: Massachusetts Institute of Technology
    Inventors: Moungi Bawendi, Venda J. Porter, Marc Kastner, Tamar Mentzel
  • Patent number: 8641592
    Abstract: A method and device for image guided dynamic radiation treatment of prostate cancer and other pelvic lesions including: 1) a unique fan geometry of radiation sources; 2) a special collimation method and apparatus to sculpt the radiation borders; 3) an integrated three-dimensional imager and a special tissue interface imaging system to locate and track critical boundaries in real-time; 4) a dynamic patient support system, which is shared by the said imager and the irradiation system; and 5) motorized custom shielding filters to further protect neighboring normal tissues such as the kidneys and femoral heads. The fan geometry utilizes a plural number of radiation sources arranged specifically for irradiating tumors in the human pelvis while not harming critical structures, and the collimation sculpts the radiation borders using motorized shields for different sensitive structures.
    Type: Grant
    Filed: March 23, 2010
    Date of Patent: February 4, 2014
    Inventor: Xinsheng Yu
  • Patent number: 8637382
    Abstract: A method and system for cleaving a film of material utilizing thermal flux. The method includes providing a substrate having a face and an underlying cleave region including a prepared initiation region. Additionally, the method includes subjecting the initiation region to a first thermal flux to form a cleave front separating the cleave region of the substrate to a film portion and a bulk portion. The method further includes subjecting an area of the bulk portion substantially in the vicinity of the cleave front to a second thermal flux to cause a temperature difference above and below the cleave region for inducing a propagation of the cleave front expanding the film portion to the area at the expense of the bulk portion. Furthermore, the method includes determining a scan path for the second thermal flux based on the cleave front. Moreover, the method includes scanning the second thermal flux to follow the scan path to further propagate the cleave front.
    Type: Grant
    Filed: August 1, 2011
    Date of Patent: January 28, 2014
    Assignee: Silicon Genesis Corporation
    Inventor: Francois J. Henley
  • Patent number: 8637838
    Abstract: A scanning system including a scanning element, a beam profiler, analysis system, and a ZFE-limiting element, is disclosed. The scanning element is configured to scan an ion beam over an ion beam scan path. The beam profiler measures beam current of the ion beam as it is scanned over the ion beam scan path, and the analysis system analyzes the measured beam current to detect a ZFE condition. The ZFE-limiting element, which is upstream of the beam profiler and is coupled to the analysis system via a feedback path, is configured to selectively apply an electric field to the scanned ion beam based on whether the ZFE condition is detected. The selectively applied electric field induces a change in the scanned beam to limit the ZFE condition.
    Type: Grant
    Filed: December 13, 2011
    Date of Patent: January 28, 2014
    Assignee: Axcelis Technologies, Inc.
    Inventors: Edward C. Eisner, Bo H. Vanderberg
  • Patent number: 8637819
    Abstract: Provided is a cross-section processing and observation apparatus, including a control portion for repeatedly executing a process including slice processing by an ion beam and acquisition of a SIM image by a secondary electron emitted from a cross-section formed by the slice processing, in which the control portion divides an observation image into a plurality of areas, and finishes the process when a change has occurred between an image in one area of the plurality of areas and an image in an area, which corresponds to the one area, of an observation image of another cross-section acquired by the process.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: January 28, 2014
    Assignee: Hitachi High-Tech Science Corporation
    Inventors: Makoto Sato, Tatsuya Asahata, Hidekazu Suzuki
  • Patent number: 8637839
    Abstract: The present embodiments relate to a method for operating a particle therapy system. The particle therapy system includes a particle generation device, a beam generating device for generating a particle beam from at least one portion of the generated irradiation particles, a measuring device for automatically measuring a particle beam intensity of the particle beam, and a particle beam influencing device. The particle beam influencing device is configured to adjust the particle beam intensity as a function of the measured particle beam intensity and a predefined setpoint value for the particle beam intensity.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: January 28, 2014
    Assignee: Siemens Aktiengesellschaft
    Inventor: Martin Bräuer
  • Patent number: 8633458
    Abstract: Ion implant apparatus using a drum-type scan wheel holds wafers with a total cone angle less than 60°. A collimated scanned beam of ions, for example H+, is directed along a final beam path which is at an angle of at least 45° to the axis of rotation of the scan wheel. Ions are extracted from a source and accelerated along a linear acceleration path to a high implant energy (more than 500 keV) before scanning or mass analysis. The mass analyzer may be located near the axis of rotation and unwanted ions are directed to an annular beam dump which may be mounted on the scan wheel.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: January 21, 2014
    Assignee: GTAT Corporation
    Inventors: Theodore Smick, Geoffrey Ryding, Hilton Glavish, Takao Sakase, William Park, Jr., Paul Eide, Drew Arnold, Ronald Horner, Joseph Gillespie
  • Patent number: 8633457
    Abstract: A background reduction system may include, but is not limited to: a charged particle source configured to generate a charged-particle beam; a louvered structure including one or more apertures configured to selectively transmit charged particles according to their angle of incidence; and a charged-particle detector configured to receive charged particles selectively transmitted by the louvered structure.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: January 21, 2014
    Assignee: KLA-Tencor Corporation
    Inventors: Mehran Nasser-Ghodsi, Christopher Sears, Robert Haynes
  • Patent number: 8629416
    Abstract: An improved method for substrate micromachining. Preferred embodiments of the present invention provide improved methods for the utilization of charged particle beam masking and laser ablation. A combination of the advantages of charged particle beam mask fabrication and ultra short pulse laser ablation are used to significantly reduce substrate processing time and improve lateral resolution and aspect ratio of features machined by laser ablation to preferably smaller than the diffraction limit of the machining laser.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: January 14, 2014
    Assignee: FEI Company
    Inventors: Marcus Straw, Milos Toth, Steven Randolph, Michael Lysaght, Mark Utlaut
  • Patent number: 8624528
    Abstract: The invention relates generally to treatment of solid cancers. More particularly, the invention relates to enhancing synchrotron acceleration cycle usage efficiency by adjusting the synchrotron's acceleration cycle to correlate with a patient's respiration rate where efficiency refers to the duty cycle or the percentage of acceleration cycles used to deliver charged particles to the tumor. The system senses patient respiration and controls timing of negative ion beam formation, injection of charged particles into a synchrotron, acceleration of the charged particles, and extraction to yield delivery of the particles to the tumor at a predetermine period of the patient's respiration cycle. Independent control of charged particle energy and intensity is maintained during the timed irradiation therapy. Multi-field irradiation ensures efficient delivery of Bragg peak energy to the tumor while spreading ingress energy about the tumor.
    Type: Grant
    Filed: February 17, 2010
    Date of Patent: January 7, 2014
    Inventor: Vladimir Balakin
  • Patent number: 8624204
    Abstract: A system for configuring and utilizing J electromagnetic radiation sources (J?2) to serially irradiate a substrate. Each source has a different function of wavelength and angular distribution of emitted radiation. The substrate includes a base layer and I stacks (I?2; J?I) thereon. Pj denotes a normally incident energy flux on each stack from source j. In each of I independent exposure steps, the I stacks are concurrently exposed to radiation from the J sources. Vi and Si respectively denote an actual and target energy flux transmitted into the substrate via stack i in exposure step i (i=1, . . . , I). t(i) and Pt(i) are computed such that: Vi is maximal through deployment of source t(i) as compared with deployment of any other source for i=1, . . . , I; and an error E being a function of |V1?S1|, |V2?S2|, . . . , |VI?SI| is about minimized with respect to Pi (i=1, . . . , I).
    Type: Grant
    Filed: October 24, 2012
    Date of Patent: January 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Brent A. Anderson, Edward J. Nowak
  • Patent number: 8624210
    Abstract: A quartz window with an interior plenum is operable as a shutter or UV filter in a degas chamber by supplying the plenum with an ozone-containing gas. Pressure in the plenum can be adjusted to block UV light transmission into the degas chamber or adjust transmittance of UV light through the window. When the plenum is evacuated, the plenum allows maximum transmission of UV light into the degas chamber.
    Type: Grant
    Filed: June 21, 2013
    Date of Patent: January 7, 2014
    Assignee: Lam Research Corporation
    Inventors: Yen-Kun Victor Wang, Shang-I Chou, Jason Autustino
  • Patent number: 8624206
    Abstract: An improved method of directing a charged particle beam that compensates for the time required for the charged particles to traverse the system by altering one or more of the deflector signals. According to one embodiment of the invention, a digital filter is applied to the scan pattern prior to digital-to-analog (D/A) conversion in order to reduce or eliminate over-shoot effects that can result from TOF errors. In other embodiments, analog filters or the use of signal amplifiers with a lower bandwidth can also be used to compensate for TOF errors. By altering the scan pattern, over-shoot effects can be significantly reduced or eliminated.
    Type: Grant
    Filed: October 18, 2012
    Date of Patent: January 7, 2014
    Assignee: FEI Company
    Inventors: Tom Miller, Gene Mirro, Cornelis Sander Kooijman, Hendrik Jan de Vos
  • Patent number: 8624205
    Abstract: A charged particle beam writing apparatus includes an aperture array configured to be capable of forming a plurality of charged particle beams using a plurality of openings, an element array including a plurality of main elements and a plurality of auxiliary elements different from the main elements, and a control unit configured to acquire information associated with a defect of the plurality of main elements and control the element array in accordance with the information, wherein the control unit controls the element array such that only the main elements are used when there is no defect, while when there is a main element having a defect, an auxiliary element is used without using the main element having the defect.
    Type: Grant
    Filed: January 6, 2010
    Date of Patent: January 7, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Isamu Seto, Yoshio Suzaki, Masamichi Kuwabara
  • Patent number: 8624478
    Abstract: The invention relates to a high voltage shielding arrangement comprising a first metal part and a second metal part positioned in close vicinity to said first metal part. Said second metal part included in said arrangement to be set at an electrical potential that is lower than the electric potential of the first metal part. Said second metal part having comprising one or more edges and an insulator. The second metal part is at least partially encapsulated by the insulator facing the first metal part.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: January 7, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Johan Joost Koning, Stijn Willem Herman Steenbrink, Norman Hendrikus Rudolf Baars, Bart Schipper
  • Publication number: 20140001379
    Abstract: The present invention relates to a terahertz wave modulator. The terahertz wave modulator includes: a semiconductor substrate; a terahertz modulation layer including an organic-material layer disposed on the semiconductor substrate; and a first incident wave radiation unit for vertically radiating a first incident wave having a terahertz wave region onto the terahertz modulation layer. The transmitted terahertz wave may be variously modified according to the degree of crystallization of an organic material deposited on the semiconductor substrate and according to the intensity of incident light so as to maximize modulation efficiency using the modified terahertz wave. Thus, a device for modulating wavelength width, amplitude, and phase through waveform deformation in a time region may be provided.
    Type: Application
    Filed: December 12, 2011
    Publication date: January 2, 2014
    Applicant: GWANGJU INSTITUTE OF SCIENCE AND TECHNOLOGY
    Inventors: Chul-Sik Kee, Joong-Wook Lee, Chul Kang, Kiejin Lee, Hyung Keun Yoo
  • Patent number: 8618518
    Abstract: A method for forming a solid immersion lens (SIL) includes generating a focused ion beam, and projecting the focused ion beam onto an optical medium at locations defined by a binary bitmap milling pattern, wherein the locations at which the focused ion beam impact a surface of the optical medium are randomized over successive raster scans of the surface of the optical medium to form at least a portion of a hemispherical structure in the optical medium.
    Type: Grant
    Filed: March 15, 2011
    Date of Patent: December 31, 2013
    Assignee: Avago Technologies General IP (Singapore) Pte. Ltd.
    Inventors: David Winslow Niles, Ronald William Kee
  • Patent number: 8618499
    Abstract: The present invention has for its object to provide an electron beam irradiation apparatus which can suppress influences the electric fields generated by a plurality of backscattered electron detectors have. To attain the above object, an electron beam irradiation apparatus equipped with a scanning deflector comprises a plurality of backscattered electron detectors, a power source for detectors which applies voltages to the plural backscattered electron detectors, respectively, and a controller device which adjusts application voltages the power source for detectors delivers, on the basis of an image shift when the voltages are applied to the plural backscattered electron detectors.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: December 31, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Shahedul Hoque, Hajime Kawano
  • Patent number: 8618496
    Abstract: A charged particle system such as a multi beam lithography system. A manipulator device manipulates one or more charged particle beams. The manipulator device includes at least one through opening in the plane of the planar substrate for passing at least one charged particle. Each through opening is provided with electrodes arranged in a first set of multiple first electrodes along a first part of a perimeter of the through opening and in a second set of multiple second electrodes along a second part of the perimeter. An electronic control circuit is arranged for providing voltage differences the electrodes in dependence of a position of the first and second electrode along the perimeter of the through opening.
    Type: Grant
    Filed: April 27, 2012
    Date of Patent: December 31, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventors: Marco Jan-Jaco Wieland, Stijn Willem Herman Karel Steenbrink, Alexander Hendrik Vincent van Veen, Alrik van den Brom
  • Patent number: 8618514
    Abstract: A method of manufacturing a semiconductor device includes the steps of: providing a supply of molecules containing a plurality of dopant atoms into an ionization chamber, ionizing said molecules into dopant cluster ions, extracting and accelerating the dopant cluster ions with an electric field, selecting the desired cluster ions by mass analysis, modifying the final implant energy of the cluster ion through post-analysis ion optics, and implanting the dopant cluster ions into a semiconductor substrate. In general, dopant molecules contain n dopant atoms, where n is an integer number greater than 10. This method enables increasing the dopant dose rate to n times the implantation current with an equivalent per dopant atom energy of 1/n times the cluster implantation energy, while reducing the charge per dopant atom by the factor n.
    Type: Grant
    Filed: November 2, 2011
    Date of Patent: December 31, 2013
    Assignee: SemEquip, Inc.
    Inventors: Thomas N. Horsky, Dale C. Jacobson
  • Patent number: 8618519
    Abstract: An energy degrader includes: an attenuation member that attenuates energy of entering charged particles to a different amount of energy according to an incident position of the charged particles; an energy adjustment drive unit that drives the attenuation member in a first axial direction in order to change the incident position of the charged particles; and a beam diameter adjustment drive unit that drives the attenuation member in a second axial direction different from the first axial direction in order to adjust the beam diameter of the charged particles.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: December 31, 2013
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventor: Takamasa Ueda
  • Patent number: 8618516
    Abstract: A charged-particle beam exposure apparatus which includes a deflector that deflects a charged-particle beam, and a stage mechanism that drives a substrate, and draws a pattern on the substrate while scanning the charged-particle beam in a main-scanning direction by the deflector and scanning the substrate in a sub-scanning direction by the stage mechanism. The apparatus includes a blanker unit configured to control irradiation and unirradiation of the substrate with the charged-particle beam, and a controller configured to control the deflector to deflect the charged-particle beam in the sub-scanning direction by an amount of driving of the substrate in the sub-scanning direction by the stage mechanism during a period of time from stop of drawing on the substrate until restart thereof when the drawing on the substrate is stopped and then restarted while the substrate is driven in the sub-scanning direction by the stage mechanism.
    Type: Grant
    Filed: November 11, 2011
    Date of Patent: December 31, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Hirohito Ito
  • Patent number: 8619361
    Abstract: Methods and apparatus for providing vibration compensation using position measurements are disclosed. According to one aspect of the present invention, a method of compensating for vibrations of an object includes obtaining a plurality of position measurements associated with the object. The method also includes processing the plurality of position measurements to determine a derivative acceleration, and determining a compensatory force to counteract the vibrations of the object. Determining the compensatory force includes using the derivative acceleration. Finally, the method includes applying the compensatory force to the object.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: December 31, 2013
    Assignee: Nikon Corporation
    Inventors: Yi-Ping Hsin, Susumu Isago, Kazuo Masaki, Bausan Yuan
  • Patent number: 8618503
    Abstract: An electron beam sterilizer has a bottle holder 28 provided with a rotation shaft 38, a neck gripper 70 mounted to a lower end of the rotation shaft 38, a rotating body 30 for rotating and moving the neck gripper 70 and a rotator revolver (segment gear 54, pinion gear 46, disc-shaped cam 66, etc.), and while conveying the resin bottle 2 in the state of being held, the resin bottle 2 is sterilized by irradiation with the electron beam through the irradiation window 19 of the electron beam irradiation device 18. The entire surface of the resin bottle 2 is completely sterilized by being rotated by the rotator during the movement in front of the irradiation window 19 and, thereafter, the rotator is inverted in position to return the neck gripper to thereby discharge the bottle.
    Type: Grant
    Filed: May 20, 2008
    Date of Patent: December 31, 2013
    Assignee: Shibuya Kogyo, Ltd.
    Inventors: Yukinobu Nishino, Tokuo Nishi, Yukihiro Yamamoto
  • Publication number: 20130341534
    Abstract: A sensor capable of detecting light, hydrogen gas, and air pressure includes a metal oxide film produced by a process including the steps of: (a) forming an organic film by using a primer composition containing (i) an addition polymerizable compound including three or more reactive groups, (ii) an addition polymerizable compound including an acid group, and (iii) an addition polymerizable compound including a hydrophilic functional group; (b) forming a metal (M1) salt from the acid group; (c) substituting the metal (M1) salt of the acid group with a metal (M2) salt by treating the organic film with a metal (M2) ion aqueous solution; (d) reducing the metal (M2) ion so that a metal film is formed on a surface of the organic film; and (e) oxidizing the metal film.
    Type: Application
    Filed: March 18, 2011
    Publication date: December 26, 2013
    Applicant: OMRON CORPORATION
    Inventors: Keisuke Ohmae, Tetsuya Mori, Tetsuo Hayase, Seiji Nakajima, Mariko Nishiguchi
  • Patent number: 8614427
    Abstract: One embodiment disclosed relates to a method for fabricating a calibration sample. The method includes lithographically patterning a first side of a wafer with a pattern of a self-supporting membrane, etching the first side of the wafer to form the self-supporting membrane in a layer on the first side, and etching a second side of the wafer to reach the layer so as to suspend the membrane over an empty space. Another embodiment disclosed relates to a charged particle beam system. The system includes a charged particle source, a focusing column and lens assembly, a detector, and a suspended membrane calibration sample. Another embodiment disclosed relates a suspended membrane calibration sample for a charged particle beam system. The calibration sample includes a plurality of calibration patterns in an array, a suspended membrane that is self-supporting and includes the plurality of calibration patterns, and an empty space underneath the membrane.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: December 24, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Mark A. McCord, Liqun Han
  • Patent number: 8610091
    Abstract: A charged particle beam writing apparatus according to an embodiment, includes a first dose calculating unit configured to calculate a first dose map for each set of a proximity effect correction coefficient map and a base dose map of a beam; a dimension map creation unit configured to create a dimension map of a pattern by using the first dose map calculated for each set; an adder configured to add dimensions of all sets for each position of the dimension map by using the dimension map of each set; a set map creation unit configured to create a set of a proximity effect correction coefficient map and a base dose map by using an added dimension map after addition; and a second dose calculating unit configured to calculate a second dose map by using a created set of the proximity effect correction coefficient map and the base dose map.
    Type: Grant
    Filed: April 25, 2011
    Date of Patent: December 17, 2013
    Assignee: NuFlare Technology, Inc.
    Inventor: Hiroshi Matsumoto
  • Patent number: 8610096
    Abstract: A charged-particle beam writing apparatus used for writing a predetermined pattern on a sample placed on a stage with a charged-particle beam. The apparatus comprises a height measuring unit that measures a height of the sample by irradiating the sample with light and receiving light reflected from the sample, and a control unit that receives either of height data acquired from a height data map prepared based on values measured by the height measuring unit before writing and height data measured by the height measuring unit during writing, thereby adjust an irradiation position of the charged-particle beam on the sample.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: December 17, 2013
    Assignee: NuFlare Technology, Inc.
    Inventor: Takanao Touya
  • Patent number: 8610089
    Abstract: A conditioning system for conditioning a part of a lithographic apparatus, includes an evaporator positioned in thermal contact with the part for extracting heat from the part by evaporation of a fluid inside the evaporator; a condenser for removing heat from the fluid inside the condenser; fluid lines arranged between the evaporator and the condenser to form a fluid circuit; a pump arranged in the circuit to circulate the fluid in the circuit; an accumulator to hold fluid, wherein the accumulator is in fluid communication with the circuit and comprises a heat exchanger to transfer heat from or to fluid inside the accumulator; a temperature sensor to provide a signal representative of the fluid temperature; and a controller to maintain a substantially constant temperature of the fluid inside the circuit by regulating the amount of heat transferred by the heat exchanger based on the signal.
    Type: Grant
    Filed: April 17, 2012
    Date of Patent: December 17, 2013
    Assignees: ASML Netherlands B.V., Stichting Nationaal Lucht—en Ruimtevaartlaboratorium
    Inventors: Sjoerd Nicolaas Lambertus Donders, Vadim Yevgenyevich Banine, Johannes Hubertus Josephina Moors, Martinus Cornelis Maria Verhagen, Olav Waldemar Vladimir Frijns, Gerrit Van Donk, Henk Jan Van Gerner
  • Patent number: 8610082
    Abstract: A drawing apparatus performs drawing on a substrate with charged particle beams. The drawing apparatus includes an irradiation optical system including a collimator lens; an aperture array configured to split the charged particle beam into a plurality of charged particle beams; a converging lens array configured to form a plurality of crossovers of the plurality of charged particle beams; and a projection optical system including an element in which a plurality of apertures corresponding to the plurality of crossovers are formed, and a plurality of projection lenses corresponding to the apertures. The converging lens array includes converging lenses disposed such that each of the plurality of crossovers, which are formed by the converging lenses from the charged particle beam incident on the aperture array at incidence angles associated with aberration of the irradiation optical system, is aligned with corresponding one of the apertures in the element.
    Type: Grant
    Filed: March 19, 2012
    Date of Patent: December 17, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kentaro Sano, Masato Muraki
  • Patent number: 8604427
    Abstract: A method includes irradiating a surface of a sample, which is made-up of multiple types of materials, with a beam of primary electrons. Emitted electrons emitted from the irradiated sample are detected using multiple detectors that are positioned at respective different positions relative to the sample, so as to produce respective detector outputs. Calibration factors are computed to compensate for variations in emitted electron yield among the types of the materials, by identifying, for each material type, one or more horizontal regions on the surface that are made-up of the material type, and computing a calibration factor for the material type based on at least one of the detector outputs at the identified horizontal regions. The calibration factors are applied to the detector outputs. A three-dimensional topographical model of the surface is calculated based on the detector outputs to which the calibration factors are applied.
    Type: Grant
    Filed: February 2, 2012
    Date of Patent: December 10, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Ishai Schwarzband, Yakov Weinberg
  • Publication number: 20130320242
    Abstract: A process is disclosed for producing a doped gallium arsenide single crystal by melting a gallium arsenide starting material and subsequently solidifying the gallium arsenide melt, wherein the gallium arsenide melt contains an excess of gallium relative to the stoichiometric composition, and wherein it is provided for a boron concentration of at least 5×10?7 cm?3 in the melt or in the obtained crystal. The thus obtained crystal is characterized by a unique combination of low dislocation density, high conductivity and yet excellent, very low optic absorption, particularly in the range of the near infrared.
    Type: Application
    Filed: November 8, 2012
    Publication date: December 5, 2013
    Applicant: FREIBERGER COMPOUND MATERIALS GmbH
    Inventor: FREIBERGER COMPOUND MATERIALS GmbH
  • Patent number: 8598544
    Abstract: The invention relates to a method of generating a two-level pattern for lithographic processing by multiple beamlets. In the method, first a pattern in vector format is provided. The vector format pattern is then converted into a pattern in pixmap format. Finally, a two-level pattern is formed by application of error diffusion on the pixmap format pattern.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: December 3, 2013
    Assignee: Mapper Lithography IP B.V.
    Inventors: Teunis Van De Peut, Marco Jan-Jaco Wieland
  • Patent number: 8598488
    Abstract: An apparatus can include a first beam cropper configured to crop a portion of a radiation pulse having a first spot size to form an intermediate cropped radiation pulse having an intermediate cropped spot with an intermediate cropped spot size less than the first spot size; and a second beam cropper configured to crop the intermediate cropped spot to form a second cropped radiation pulse having a second cropped spot with a second cropped spot size less the intermediate cropped spot size.
    Type: Grant
    Filed: December 23, 2011
    Date of Patent: December 3, 2013
    Assignee: Electro Scientific Industries, Inc.
    Inventors: Ciaran John Patrick O'Connor, Shane Hilliard, Leif Summerfield
  • Patent number: 8597011
    Abstract: Embodiments of the invention relate generally to an ultraviolet (UV) cure chamber for curing a dielectric material disposed on a substrate and to methods of curing dielectric materials using UV radiation. A substrate processing tool according to one embodiment comprises a body defining a substrate processing region; a substrate support adapted to support a substrate within the substrate processing region; an ultraviolet radiation lamp spaced apart from the substrate support, the lamp configured to transmit ultraviolet radiation to a substrate positioned on the substrate support; and a motor operatively coupled to rotate at least one of the ultraviolet radiation lamp or substrate support at least 180 degrees relative to each other.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: December 3, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Andrzei Kaszuba, Juan Carlos Rocha-Alvarez, Thomas Nowak, Sanjeev Baluja, Ashish Shah, Inna Shmurun
  • Patent number: 8592784
    Abstract: A method of modifying a material layer on a substrate is described. The method comprises forming the material layer on the substrate. Thereafter, the method comprises establishing a gas cluster ion beam (GCIB) having an energy per atom ratio ranging from about 0.25 eV per atom to about 100 eV per atom, and modifying the material layer by exposing the material layer to the GCIB.
    Type: Grant
    Filed: July 13, 2011
    Date of Patent: November 26, 2013
    Assignee: TEL Epion Inc.
    Inventors: John J. Hautala, Nathan E. Baxter
  • Patent number: 8586942
    Abstract: A charged particle beam position monitor is provided with a plurality of position monitors and a beam data processing device that performs calculation processing of the state of a charged particle beam, based on a plurality of signals outputted from the position monitors. The beam data processing device includes a plurality of channel data conversion units that perform AD conversion processing of the plurality of signals outputted from the position monitors; a position size processing unit, for each of the position monitors, that calculates the beam position of the beam, based on voltage information obtained through the AD conversion processing; and an integrated control unit that controls the plurality of channel data conversion units in such a way that while the beam is irradiated onto an irradiation subject, AD conversion processing of the signals is performed at different timings for the respective position monitors.
    Type: Grant
    Filed: January 20, 2012
    Date of Patent: November 19, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventors: Taizo Honda, Hisashi Harada, Yuehu Pu, Masahiro Ikeda, Kazushi Hanakawa, Toshihiro Otani, Tadashi Katayose, Yukiko Yamada
  • Patent number: 8586950
    Abstract: A method and system for photomask pattern generation is provided, and more specifically, a method and system for feature function aware priority printing is provided. The method of printing a photolithographic mask includes fracturing mask design data into write shapes that are multiples of a spot size and passing fractured mask design data to a write tool. Additionally, the method includes writing one or more non-critical shapes according to one or more time-saving rules.
    Type: Grant
    Filed: April 20, 2012
    Date of Patent: November 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brian N. Caldwell, Emily E. F. Gallagher, Steven C. Nash, Jed H. Rankin
  • Patent number: 8586460
    Abstract: Methods of enabling the use of high wavelength lasers to create shallow melt junctions are disclosed. In some embodiments, the substrate may be preamorphized to change its absorption characteristics prior to the implantation of a dopant. In other embodiments, a single implant may serve to amorphize the substrate and provide dopant. Once the substrate is sufficiently amorphized, a laser melt anneal may be performed. Due to the changes in the absorption characteristics of the substrate, longer wavelength lasers may be used for the anneal, thereby reducing cost.
    Type: Grant
    Filed: September 21, 2011
    Date of Patent: November 19, 2013
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Deepak Ramappa
  • Patent number: 8586952
    Abstract: Embodiments of the invention provide a method of reducing thermal energy accumulation during a plasma ion implantation process for forming patterns including magnetic and non-magnetic domains on a magnetically susceptible surface on a substrate. In one embodiment, a method of controlling a substrate temperature during a plasma ion implantation process includes (a) performing a first portion of a plasma ion implantation process on a substrate having a magnetically susceptible layer formed thereon in a processing chamber for a first time period, wherein a temperature of the substrate is maintained below about 150 degrees Celsius, (b) cooling the temperature of the substrate after the first portion of the plasma ion implantation process has been completed, and (c) performing a second portion of the plasma ion implantation process on the substrate, wherein the temperature of the substrate is maintained below 150 degrees Celsius.
    Type: Grant
    Filed: October 31, 2010
    Date of Patent: November 19, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Martin A. Hilkene, Matthew D. Scotney-Castle, Peter I. Porshnev, Roman Gouk, Steven Verhaverbeke