Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8841637
    Abstract: A method of performing nanolithography is disclosed, comprising use of an optical printing head that enables a super-resolution lithographic exposures compatible with conventional optical lithographic processes. The super-resolution exposures are carried out using light transmitted through specially designed super-resolution apertures, of which the “bow-tie” and “C-aperture” are examples. These specially designed apertures create small but bright images in the near-field transmission pattern. A printing head comprising an array of these apertures is held in close proximity to the object to be exposed. A data processing system is provided to re-interpret the layout data into a modulation pattern used to drive the multiple individual channels and the multiple exposures.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: September 23, 2014
    Inventors: Franklin Mark Schellenberg, Keith Edward Bennett
  • Publication number: 20140264063
    Abstract: A charged particle beam source device adapted for generating a charged particle beam is provided. The charged particle beam source device includes an emitter tip adapted for providing charged particles. Furthermore, an extractor electrode having an aperture opening is provided for extracting the charged particles from the emitter tip. An aperture angle of the charged particle beam is 2 degrees or below the aperture angle being defined by a width of the aperture opening and a distance between the emitter tip and the extractor electrode, wherein the distance between the emitter tip and the extractor electrode is a range from 0.1 mm to 2 mm.
    Type: Application
    Filed: March 10, 2014
    Publication date: September 18, 2014
    Applicant: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik GmbH
    Inventor: Pavel ADAMEC
  • Patent number: 8835884
    Abstract: A charged particle beam apparatus including a charged particle emission gun with which cleaning of a tip is possible without stopping the operation of the charged particle emission gun for a long time and without heating the tip. The charged particle emission gun includes a cleaning photo-irradiation apparatus that generates ultraviolet light or infrared light to irradiate a tip, and an optical fiber for guiding the ultraviolet light or the infrared light toward the tip. The cleaning photo-irradiation apparatus generates ultraviolet light or an infrared light with a predetermined wavelength and intensity to desorb a molecule adsorbed on the tip through photon stimulated desorption, or to desorb a molecule adsorbed on the tip through photon stimulated desorption and ionize the desorbed molecule.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: September 16, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Noriaki Arai
  • Patent number: 8835868
    Abstract: A multi charged particle beam writing apparatus includes a stage to mount a target object thereon and to be movable, an emission unit to emit a charged particle beam, an aperture member, in which a plurality of openings are formed, to produce multiple beams by letting a region including the whole of a plurality of openings be irradiated with the charged particle beam and letting portions of the charged particle beam respectively pass through a corresponding opening of a plurality of openings, a reduction optical system to reduce the multiple beams, and a doublet lens, arranged at the subsequent stage of the reduction optical system, in which a magnification is 1 and directions of magnetic fluxes are opposite.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: September 16, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Takanao Touya, Munehiro Ogasawara
  • Patent number: 8835867
    Abstract: A cellular-type PD unit is proposed and a plurality of the cellular-type PD units is used in pairs in a multi-axis magnetic lens for focusing a plurality of charged beams. First type PD units or second type PD units (called as hybrid PD unit as well) can be applied to cellular-type PD units to flexibly construct sub-lenses. Furthermore, magnetic shielding plates with a plurality of through openings can be placed above and/or below the multi-axis magnetic lens to make magnetic flux leaking out of the multi-axis magnetic lens vanish away rapidly outside the magnetic shielding plates.
    Type: Grant
    Filed: January 15, 2013
    Date of Patent: September 16, 2014
    Assignee: Hermes-Microvision, Inc.
    Inventors: Zhongwei Chen, Weiming Ren, Xuedong Liu
  • Patent number: 8835881
    Abstract: A writing area of a sample is divided into a plurality of stripes having a width corresponding to an area density of a pattern to be written on the sample with a charged-particle beam. The writing is stopped when writing of at least one stripe is terminated, and a drift amount is measured. An irradiation position of the charged-particle beam is corrected with the use of the drift amount. When the average value of the area density is more than a predetermined value, a stripe has a width smaller than the reference width, and when the average value of the area density is less than the predetermined value, the stripe has a width larger than the reference width. The width of the stripe is preferably a width corresponding to the variation of a drift from the beginning of irradiation with the charged-particle beam.
    Type: Grant
    Filed: June 11, 2013
    Date of Patent: September 16, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Takashi Kamikubo
  • Patent number: 8835879
    Abstract: Ion implantation systems that separate the flow of ions from the flow of neutral particles are disclosed. The separation of neutral particles from ions can be achieved by manipulating the flow of ions in the system through variations in electrical or magnetic fields disposed within the implantation system. The path of neutral particles is less affected by electrical and magnetic fields than ions. The separation of these flows may also be accomplished by diverting the neutral particles from the ion beam, such as via an introduced gas flow or a flow blockage. Both separation techniques can be combined in some embodiments.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: September 16, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Frank Sinclair, Greg Citver, Timothy J. Miller
  • Publication number: 20140246605
    Abstract: A process is provided for the removal of defects, for example, micro-bridging defects during device fabrication. In one aspect, a method includes: obtaining a wafer after lithography processing and exposing the wafer to at least one electron beam. In another aspect, a system includes: selecting a substrate with micro-bridging defects after the substrate undergoes lithography processing; preparing the substrate for exposure to at least one electron beam; and exposing the substrate to the at least one electron beam.
    Type: Application
    Filed: March 4, 2013
    Publication date: September 4, 2014
    Applicant: GLOBALFOUNDRIES, INC.
    Inventor: Yayi WEI
  • Patent number: 8822952
    Abstract: Charged particle beam apparatus arrangements in which either a first noise absorber which provides noise absorbing performance specialized for a first frequency range including the natural frequency of the charged particle beam apparatus as reference, or a second noise absorber which provides noise absorbing performance specialized for a second frequency range including the frequency of acoustic standing waves generated within the cover as reference, or both of the first and second noise absorbers is/are disposed within a cover of the charged particle beam apparatus.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: September 2, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Daisuke Muto, Masanori Watanabe, Masaru Matsushima, Shuichi Nakagawa, Masahiro Akatsu, Yusuke Tanba, Satoshi Okada
  • Patent number: 8822957
    Abstract: A method and system for forming and using a fiducial on a sample to locate an area of interest on the sample, the method comprising forming a fiducial by depositing a block of material on a sample proximal to an area of interest on the sample, the block of material extending from the surface of the sample to a detectable extent above the surface of the sample; and milling, using a charged particle beam, a predetermined pattern into at least two exposed faces of the block of material; subsequent to forming the fiducial, detecting the location of the area of interest by detecting the location of the fiducial; and subsequent to detecting the location of the area of interest, imaging or milling the area of interest with a charged particle beam.
    Type: Grant
    Filed: July 23, 2013
    Date of Patent: September 2, 2014
    Assignee: FEI Company
    Inventors: Cliff Bugge, Greg Clark, Todd Hanson, Scott Edward Fuller, Jason Donald
  • Patent number: 8819859
    Abstract: The apparatus includes a probe tip configured to scan a substrate having a defect to attach the defect on the probe tip while scanning the substrate, a cantilever configured to integrate a holder holding at least one probe tip, a stage configured to secure the substrate, an electromagnetic radiation source configured to generate the electromagnetic radiation beam, and an electromagnetic radiation detector configured to receive the first electromagnetic radiation signal and the second electromagnetic radiation signal. A first electromagnetic radiation signal is generated while an electromagnetic radiation beam focuses on the probe tip. A second electromagnetic radiation signal is generated while the electromagnetic radiation beam focuses on the sample attached on the probe tip. A chemical analysis of the sample is executed by comparing a difference between the first electromagnetic radiation signal and the second electromagnetic radiation signal.
    Type: Grant
    Filed: February 1, 2013
    Date of Patent: August 26, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Kai Huang, Yuan-Chih Chu
  • Patent number: 8816303
    Abstract: A method of processing of an object comprises scanning a particle beam across a surface of the object and detecting electrons emerging from the object due to the scanning; determining a height difference between the surface of the object and a predetermined surface for each of plural of locations on the surface of the object based on the detected electrons; determining a processing intensity for each of the plural locations on the surface of the object based on the determined height differences; and directing a particle beam to the plural locations based on the determined processing intensities, in order to remove material from or deposit material on the object at the plural locations.
    Type: Grant
    Filed: June 20, 2011
    Date of Patent: August 26, 2014
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Josef Biberger, Ralph Pulwey, Jaroslaw Paluszynski, Dietmar Doenitz, Hans Mathèe, Michael Steigerwald
  • Patent number: 8816305
    Abstract: An apparatus supplies a target material to a target location. The apparatus includes a reservoir that holds a target mixture that includes the target material and non-target particles; a supply system that receives the target mixture from the reservoir and that supplies the target mixture to the target location, the supply system including a tube and a nozzle that defines an orifice through which the target mixture is passed; and a filter inside the tube through which the target mixture is passed.
    Type: Grant
    Filed: December 20, 2011
    Date of Patent: August 26, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Silvia De Dea, Sergei Kalynych, Peter Baumgart
  • Patent number: 8816278
    Abstract: A method is provided for imaging a region of interest. The method includes defining a lamella within a microelectronic device, where the region of interest is in the lamella. The lamella has a first and second surface, and a first sacrificial layer contacts the first surface. The region of interest includes a material of interest, and an imaging technique capable of detecting the material of interest is selected. A support layer is formed on the second surface, where the support layer is transparent to the imaging technique. The first sacrificial layer is removed, and an image of the region of interest is produced.
    Type: Grant
    Filed: September 5, 2013
    Date of Patent: August 26, 2014
    Assignee: Globalfoundries Singapore Pte. Ltd.
    Inventors: Zhou Yongkai, Zhu Jie, Du An Yan
  • Patent number: 8803112
    Abstract: A novel composition, system and method thereof for improving beam current during silicon ion implantation are provided. The silicon ion implant process involves utilizing a first silicon-based co-species and a second species. The second species is selected to have an ionization cross-section higher than that of the first silicon-based species at an operating arc voltage of an ion source utilized during generation and implantation of active silicon ions species. The active silicon ions produce an improved beam current characterized by maintaining or increasing the beam current level without incurring degradation of the ion source when compared to a beam current generated solely from SiF4.
    Type: Grant
    Filed: August 28, 2013
    Date of Patent: August 12, 2014
    Assignee: Praxair Technology, Inc.
    Inventors: Ashwini K. Sinha, Lloyd Anthony Brown, Serge Marius Campeau
  • Publication number: 20140217305
    Abstract: A method for electron-beam writing to a medium includes positioning the medium within an e-beam writing machine so that the medium is supported by a stage and is exposed to an e-beam source. The method also includes writing a pattern to the medium using a plurality of independently-controllable beams of the e-beam source, in which the pattern comprises a plurality of parallel strips. Each of the parallel strips is written using multiple ones of the independently-controllable beams.
    Type: Application
    Filed: November 22, 2013
    Publication date: August 7, 2014
    Inventors: Wen-Chuan Wang, Shy-Jay Lin, Jaw-Jung Shin, Burn Jeng Lin
  • Patent number: 8796621
    Abstract: An inspecting apparatus for reducing a time loss associated with a work for changing a detector is characterized by comprising a plurality of detectors 11, 12for receiving an electron beam emitted from a sample W to capture image data representative of the sample W, and a switching mechanism M for causing the electron beam to be incident on one of the plurality of detectors 11, 12, where the plurality of detectors 11, 12 are disposed in the same chamber MC. The plurality of detectors 11, 12 can be an arbitrary combination of a detector comprising an electron sensor for converting an electron beam into an electric signal with a detector comprising an optical sensor for converting an electron beam into light and converting the light into an electric signal. The switching mechanism M may be a mechanical moving mechanism or an electron beam deflector.
    Type: Grant
    Filed: March 29, 2013
    Date of Patent: August 5, 2014
    Assignee: Ebara Corporation
    Inventors: Masahiro Hatakeyama, Shoji Yoshikawa, Kenichi Suematsu, Tsutomu Karimata, Nobuharu Noji
  • Patent number: 8796651
    Abstract: A sample fabricating method of irradiating a sample with a focused ion beam at an incident angle less than 90 degrees with respect to the surface of the sample, eliminating the peripheral area of a micro sample as a target, turning a specimen stage around a line segment perpendicular to the sample surface as a turn axis, irradiating the sample with the focused ion beam while the incident angle on the sample surface is fixed, and separating the micro sample or preparing the micro sample to be separated. A sample fabricating apparatus for forming a sample section in a sample held on a specimen stage by scanning and deflecting an ion beam, wherein an angle between an optical axis of the ion beam and the surface of the specimen stage is fixed and formation of a sample section is controlled by turning the specimen stage.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: August 5, 2014
    Assignee: Hitachi, Ltd.
    Inventors: Hiroyasu Shichi, Tohru Ishitani, Hidemi Koike, Kaoru Umemura, Eiichi Seya, Mitsuo Tokuda, Satoshi Tomimatsu, Hideo Kashima, Muneyuki Fukuda
  • Patent number: 8796645
    Abstract: An exposure apparatus for a photoalignment process includes; a first photomask including a plurality of transmission parts; and a second photomask including a plurality of transmission parts, where the first photomask and the second photomask partially overlap each other such that each of the first photomask and the second photomask includes an overlapping region and a non-overlapping region, the overlapping region of at least one of the first photomask and the overlapping region of the second photomask includes at least two subregions, and shapes or arrangements of the transmission parts in the at least two subregions are different from each other.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: August 5, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Soo-Ryun Cho, Jun Woo Lee, Kyoung Tae Kim, Joo Seok Yeom, Suk Hoon Kang, Eun Ju Kim
  • Patent number: 8796648
    Abstract: In a particle therapy treatment planning system for creating treatment plan data, the movement of a target (patient's affected area) is extracted from plural tomography images of the target, and the direction of scanning is determined by projecting the extracted movement on a scanning plane scanned by scanning magnets. Irradiation positions are arranged on straight lines parallel with the scanning direction making it possible to calculate a scanning path for causing scanning to be made mainly along the direction of movement of the target. The treatment planning system can thereby realize dose distribution with improved uniformity.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: August 5, 2014
    Assignee: Hitachi, Ltd.
    Inventors: Rintaro Fujimoto, Yoshihiko Nagamine, Masumi Umezawa, Toru Umekawa, Yusuke Fujii, Hiroshi Akiyama
  • Patent number: 8791432
    Abstract: A beam writing apparatus according to an embodiment includes a selection unit configured to select a dose equation from a plurality of dose equations for calculating a dose of a beam, for each small region of a plurality of small regions made by virtually dividing a writing region of a target workpiece into mesh-like regions, a dose calculation unit configured to calculate a dose of a beam which is shot into a small region of the plurality of small regions, by using a selected dose equation, for the each small region, and a writing unit configured to write a desired pattern in the small region, by using a calculated dose, for the each small region.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: July 29, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Hironobu Matsumoto
  • Patent number: 8791431
    Abstract: The present invention provides a drawing apparatus for performing drawing on a substrate with a charged particle beam, the apparatus including a first member in which an aperture, through which the charged particle beam passes, is formed, a chamber including a first space and a second space which are partitioned by the first member, and a removing device including a first supply device configured to supply a first gas containing unsaturated hydrocarbon to the first space and a second supply device configured to supply a second gas containing ozone to the second space, and configured to remove contamination on the first member by active species generated by reaction of the first gas with the second gas.
    Type: Grant
    Filed: December 13, 2013
    Date of Patent: July 29, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ichiro Tanaka
  • Patent number: 8791433
    Abstract: An ion implanting apparatus is provided, which can accurately measure a quantity of atoms that are implanted. The ion implanting apparatus according to the present invention has an object to be measured, and the object to be measured is arranged in an irradiating range in which ions are irradiated. When atoms are implanted into an object to be processed by irradiating ions of a processing gas and neutralized particles thereof, the object to be measured is heated through the irradiation with the processing gas ions and the neutralized particles. A control unit determines a quantity of the atoms that are implanted into the object to be processed from the temperature of the object to be measured.
    Type: Grant
    Filed: April 28, 2011
    Date of Patent: July 29, 2014
    Assignee: Ulvac, Inc.
    Inventors: Tsutomu Nishihashi, Kazuhiro Watanabe, Tadashi Morita, Kenji Sato, Tsutomu Tanaka, Takuya Uzumaki
  • Patent number: 8791436
    Abstract: Methods and systems for tracing circuitry on integrated circuits using focused ion beam based imaging techniques. A first component or node on an integrated circuit is coupled to a second component or node on the same integrated circuit. After an external bias is applied to the first component or node, a focused ion beam is applied to the integrated circuit and an image is taken using an electron detector. The features or components on the integrated circuit which are coupled to the second component or node will show up in high contrast on the resulting image. The method may also involve applying a bias to a node or component and then using focused ion beam imaging techniques (through an electron detector) to arrive at an image of the integrated circuit. Components coupled to the node will appear in high contrast in the resulting image.
    Type: Grant
    Filed: April 24, 2013
    Date of Patent: July 29, 2014
    Inventors: Chris Pawlowicz, Alexander Sorkin, Michael W. Phaneuf, Alexander Krechmer, Ken G. Lagarec
  • Patent number: 8791438
    Abstract: Disclosed are embodiments of an ion beam sample preparation apparatus and methods. The apparatus has disposed in a vacuum chamber at least one tilting ion beam irradiating means with intensity control, a rotation stage with rotation control, a sample holder, and an adjustable positioning stage that has two axes of positional adjustment that are operable to move the region of the sample being prepared by the ion beam relative to the ion beam. The apparatus may also include a vacuum-tight optical window for observing the sample and a shutter for protecting the optical window from debris while the sample is prepared in the ion beam.
    Type: Grant
    Filed: July 24, 2013
    Date of Patent: July 29, 2014
    Assignee: Gatan Inc.
    Inventors: Steven Thomas Coyle, John Andrew Hunt, Michael Patrick Hassel-Shearer
  • Patent number: 8785893
    Abstract: In an extreme ultraviolet (“EUV”) light source apparatus, uneven angle distribution images of EUV light are detected prior to an adjustment function by a detector, and angle distribution image data is recorded. Movement data corresponding to the recorded angle distribution image data is also recorded. The movement data corresponds to a movement amount and direction that the optical focusing means is moved from a position in which the angle distribution is even to the position in which the corresponding uneven angle distribution image is obtained. For the adjustment, a current angle distribution property image is detected by the detector and is compared with the uneven angle distribution property image data stored, and image data which is most closely matched with the current angle distribution property is selected. The movement data that corresponds to the selected image data is read out, and the light focusing optical means is moved based thereon.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: July 22, 2014
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventor: Daiki Yamatani
  • Patent number: 8785888
    Abstract: A drawing apparatus accepts a selection manipulation for selecting the type of shape of a light outgoing ratio function defining a relationship between the position of modulation units included in an optical unit as seen in the direction of the arrangement of the modulation units and a light outgoing ratio from among a plurality of shape type candidates. The drawing apparatus then adjusts the light outgoing ratio of each of the modulation units in accordance with the accepted shape type. While emitting a beam of drawing light of a strip-shaped cross-sectional configuration from the optical unit, the drawing apparatus moves the optical unit relative to a substrate in a direction orthogonal to the direction of the longer dimension of the strip-shaped cross section of the drawing light beam to perform a drawing process on the substrate.
    Type: Grant
    Filed: June 7, 2013
    Date of Patent: July 22, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshinori Honjo, Makoto Uesato
  • Patent number: 8785895
    Abstract: A target supply apparatus mounted in a chamber in which extreme ultraviolet light is generated by introducing a target material and a laser beam into the chamber may include a target generator having a nozzle, a first pipe configured to cover the nozzle, a cover opening provided in the first pipe to allow the target material to pass through the first pipe, and a first valve configured to open and close the cover opening.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: July 22, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Hiroshi Umeda, Taku Yamazaki, Hakaru Mizoguchi, Toshihiro Nishisaka
  • Patent number: 8779379
    Abstract: An acquisition method of a charged particle beam deflection shape error includes writing a plurality of figure patterns, each smaller than a deflection region of a plurality of deflection regions, with charged particle beams, at a pitch different from an arrangement pitch of the plurality of deflection regions to be deflected by a deflector that deflects the charged particle beams, synthesizing writing positions of the plurality of figure patterns into one virtual deflection region of the same size as the deflection region, based on a positional relationship between the deflection region including a position where a figure pattern concerned of the plurality of figure patterns has been written and the position where the figure pattern concerned has been written, and calculating, to output, a shape error in the case of writing a pattern in the deflection region, using a synthesized writing position of each of the plurality of figure patterns.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: July 15, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Rieko Nishimura
  • Patent number: 8779357
    Abstract: Metrology is performed using multiple registered images derived from one or more charged particle beams. Measurements combine features from one image that may not be visible in a second image to determine relationships that cannot be determined from a single image. In one embodiment, measurements use features from different element maps to determine a relationship between features, such as a distance or angle between two features in the first image at a location determined by a distance from a feature on the second image.
    Type: Grant
    Filed: April 17, 2013
    Date of Patent: July 15, 2014
    Assignee: FEI Company
    Inventor: Thomas G. Miller
  • Patent number: 8779403
    Abstract: An apparatus and a method for generating extreme ultra violet radiation are provided. The apparatus for generating extreme ultra violet radiation includes a light source, a first reflecting mirror on which source light emitted from the light source is incident, a second reflecting mirror on which first reflected light reflected by the first reflecting mirror is incident, a focus mirror on which second reflected light reflected by the second reflecting mirror is incident, the focus mirror reflecting third reflected light back to the second reflecting mirror, and a gas cell on which fourth reflected light reflected by the second reflecting mirror is incident.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: July 15, 2014
    Assignees: Samsung Electronics Co., Ltd., Fine Semitech Corp.
    Inventors: Dong-Gun Lee, Eok-Bong Kim, Jong-Ju Park, Seong-Sue Kim
  • Patent number: 8779392
    Abstract: The invention relates to a charged particle beam lithography system comprising: a charged particle optical column arranged in a vacuum chamber for projecting a charged particle beam onto a target, wherein the column comprises deflecting means for deflecting the charged particle beam in a deflection direction, a target positioning device comprising a carrier for carrying the target, and a stage for carrying and moving the carrier along a first direction, wherein the first direction is different from the deflection direction, wherein the target positioning device comprises a first actuator for moving the stage in the first direction relative to the charged particle optical column, wherein the carrier is displaceably arranged on the stage and wherein the target positioning device comprises retaining means for retaining the carrier with respect to the stage in a first relative position.
    Type: Grant
    Filed: November 16, 2011
    Date of Patent: July 15, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Jerry Peijster, Guido de Boer
  • Patent number: 8779394
    Abstract: A charged particle beam writing apparatus includes a division/distribution processing unit to divide and distribute processed data into data groups each having an approximately equal data amount respectively, transmitting units to transmit the processed data of the groups such that processed data is transmitted in descending order with respect to order of writing processing for each data group and the groups are transmitted in parallel, memories to store the processed data of the groups such that each of the memories stores processed data of each different one of the groups, a writing order data output unit to output them, regardless of data group and in order of writing processing, and a writing unit to write a pattern on a target workpiece with a charged particle beam, based on the processed data output in the order of writing processing.
    Type: Grant
    Filed: March 27, 2012
    Date of Patent: July 15, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Hideo Inoue
  • Patent number: 8778746
    Abstract: A thin-film transistor device manufacturing method forms a plurality of gate electrodes above a substrate. A silicon nitride layer is formed on the plurality of gate electrodes. A silicon oxide layer is formed on the silicon nitride layer. An amorphous silicon layer is formed on the silicon oxide layer. The amorphous silicon layer is crystallized using predetermined laser light to produce a crystalline silicon layer. A source electrode and a drain electrode are formed on the crystalline silicon layer in a region that corresponds to each of the plurality of gate electrodes. A film thickness of the silicon oxide layer, a film thickness of the silicon nitride layer, and a film thickness of the amorphous silicon layer satisfy predetermined conditional expressions.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: July 15, 2014
    Assignee: Panasonic Corporation
    Inventor: Yuta Sugawara
  • Patent number: 8779383
    Abstract: Isotopically enriched silicon precursor compositions are disclosed, as useful in ion implantation to enhance performance of the ion implantation system, in relation to corresponding ion implantation lacking such isotopic enrichment of the silicon precursor composition. The silicon dopant composition includes at least one silicon compound that is isotopically enriched above natural abundance in at least one of 28Si, 29Si, and 30Si, and may include a supplemental gas including at least one of a co-species gas and a diluent gas. Dopant gas supply apparatus for providing such silicon dopant compositions to an ion implanter are described, as well as ion implantation systems including such dopant gas supply apparatus.
    Type: Grant
    Filed: May 21, 2013
    Date of Patent: July 15, 2014
    Assignee: Advanced Technology Materials, Inc.
    Inventors: James J. Mayer, Richard S. Ray, Robert Kaim, Joseph D. Sweeney
  • Patent number: 8779400
    Abstract: An ion beam machining and observation method relevant to a technique of cross sectional observation of an electronic component, through which a sample is machined by using an ion beam and a charged particle beam processor capable of reducing the time it takes to fill up a processed hole with a high degree of flatness at the filled area. The observation device is capable of switching the kind of gas ion beam used for machining a sample with the kind of a gas ion beam used for observing the sample. To implement the switch between the kind of a gas ion beam used for sample machining and the kind of a gas ion beam used for sample observation, at least two gas introduction systems are used, each system having a gas cylinder, a gas tube, a gas volume control valve, and a stop valve.
    Type: Grant
    Filed: June 25, 2013
    Date of Patent: July 15, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyasu Shichi, Satoshi Tomimatsu, Kaoru Umemura, Noriyuki Kaneoka, Koji Ishiguro
  • Patent number: 8772734
    Abstract: A lithograph apparatus that performs writing on a substrate with a plurality of charged particle beams. A blanking deflector array blanks the plurality of charged particle beams. An aperture array blocks n charged particle beam deflected by the blanking deflector array. A sealing mechanism seals an opening or at least one of the blanking deflector array and the aperture array with a shielding material that shields a charged particle beam. A moving mechanism moves the substrate so that the writing is performed with a blankable charged particle beam instead of an unblankable charged particle beam shielded by the shielding material.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: July 8, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Toshiro Yamanaka
  • Patent number: 8772737
    Abstract: A coupling module may include an upper portion that defines an aperture, mask contact elements, chuck contact elements and an intermediate element that is connected between the mask contact elements and the upper portion. A shape and a size of the aperture may correspond to a shape and size of a pattern transfer area of an extreme ultra violet (EUVL) mask. The coupling module may be shaped and sized so that once the mask contact elements contact the upper portion of the EUVL mask, the chuck contact elements contact a chuck that supports the mask. The coupling module may further provide at least one conductive path between the upper portion of the EUVL mask and the chuck when the EUVL mask is positioned on the chuck.
    Type: Grant
    Filed: September 20, 2012
    Date of Patent: July 8, 2014
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Igor Krivts (Krayvitz), Israel Avneri, Yoram Uziel, Nir Ben-David Dodzin, Ido Holcman, Itzak Yair, Yosi Basson
  • Patent number: 8766210
    Abstract: Charged particle system are disclosed and include a first voltage source, a second voltage source electrically isolated from the first voltage source, a charged particle source electrically connected to the first voltage source, and an extractor electrically connected to the second voltage source. Methods relating to the charged particle systems are also disclosed.
    Type: Grant
    Filed: December 16, 2011
    Date of Patent: July 1, 2014
    Assignee: Carl Zeiss Microscopy, LLC
    Inventors: Raymond Hill, John Notte, IV
  • Patent number: 8764905
    Abstract: A method and system for cleaning lithography components including contacting a substrate having residue including organic compounds and graphitic carbon deposited on a surface thereof with hydrogen peroxide vapor. The hydrogen peroxide vapor is irradiated with electromagnetic radiation having a wavelength in the range of 100 nm to 350 nm forming hydroxyl radicals. The hydroxyl radicals react with the residue to remove the residue from the surface of the substrate.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: July 1, 2014
    Assignee: Intel Corporation
    Inventors: Paul A. Zimmerman, Christof G. Krautschik
  • Patent number: 8766216
    Abstract: A drawing apparatus include: a charged particle optical system configured to generate M×N charged particle beams; a limiting device configured to limit number of charged particle beams that the charged particle optical system emits toward a substrate; and a controller configured, if an abnormal beam that does not satisfy a use condition is present among the M×N charged particle beams, to control the limiting device such that only m rows, each of the m rows including n charged particle beams that are successive without intervention of the abnormal beam.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: July 1, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Takayuki Kawamoto
  • Patent number: 8763985
    Abstract: To provide a mechanism in which a moving body can be stored in a housing. A gate valve has a slide plate and a moving mechanism for changing the positions in the radial and axial directions of the slide plate. A shaft is a rotating shaft the axial displacement of which is controlled by an axial driving mechanism and the angle of rotation of which is controlled by a radial driving mechanism, and is turnably supported in the housing by a shaft supporting spring consisting of a magnetic bearing and a conical coil spring. By controlling the attraction force of a balance weight in an electromagnet, the shaft can be moved in the radial direction. Also, by controlling the attraction force of an electromagnet target in the electromagnet, the shaft can be moved in the axial direction.
    Type: Grant
    Filed: April 22, 2008
    Date of Patent: July 1, 2014
    Assignee: Edwards Japan Limited
    Inventors: Masaharu Miki, Yoshihiro Enomoto
  • Patent number: 8766213
    Abstract: A method and apparatus for aligning a laser beam coincident with a charged particle beam. The invention described provides a method for aligning the laser beam through the center of an objective lens and ultimately targeting the eucentric point of a multi-beam system. The apparatus takes advantage of components of the laser beam alignment system being positioned within and outside of the vacuum chamber of the charged particle system.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: July 1, 2014
    Assignee: Fei Company
    Inventors: Marcus Straw, Mark Emerson
  • Patent number: 8764952
    Abstract: In a method of irradiating a gas cluster ion beam on a solid surface and smoothing the solid surface, the angle formed between the solid surface and the gas cluster ion beam is chosen to be between 1° and an angle less than 30°. In case the solid surface is relatively rough, the processing efficiency is raised by first irradiating a beam at an irradiation angle ? chosen to be something like 90° as a first step, and subsequently at an irradiation angle ? chosen to be 1° to less than 30° as a second step. Alternatively, the set of the aforementioned first step and second step is repeated several times.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: July 1, 2014
    Assignee: Japan Aviation Electronics Industry Limited
    Inventors: Akinobu Sato, Akiko Suzuki, Emmanuel Bourelle, Jiro Matsuo, Toshio Seki, Takaaki Aoki
  • Patent number: 8766214
    Abstract: The invention relates to a method of preparing and imaging a sample using a particle-optical apparatus, equipped with an electron column and an ion beam column, a camera system, a manipulator. The method comprises the steps of deriving a first ptychographic image of the sample from a first electron image, thinning the sample, and forming a second ptychographic image of the sample. In an embodiment of the invention the seed image used for the second image is the first ptychographic image. In another embodiment the second ptychographic image is the image of the layer removed during the thinning. In another embodiment the inner potential of the sample is determined and dopant concentrations are determined.
    Type: Grant
    Filed: June 17, 2013
    Date of Patent: July 1, 2014
    Assignee: FEI Company
    Inventors: Brian Roberts Routh, Jr., Peter Christiaan Tiemeijer, Bart Jozef Janssen, Thomas G. Miller, David Foord, Ivan Lazić
  • Patent number: 8766219
    Abstract: A method of operating a particle beam microscopy. A particle beam is scanned across a scanning region of a surface of the object. Particles are detected by a detector system for a plurality of impingement locations of the primary beam within the scanning region. A detector system generates detector signals which represent for each of the impingement locations an intensity of the detected particles. Material data of the interaction regions are calculated depending on the detector signals and depending on topography data, which represent a topography of the object surface in the scanning region.
    Type: Grant
    Filed: September 10, 2013
    Date of Patent: July 1, 2014
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Michel Aliman, Jaroslaw Paluszynski, Wolfgang Berger
  • Patent number: 8767782
    Abstract: An object of the present invention is to provide a method and a device for constantly setting the energy distribution of a laser beam on an irradiating face, and uniformly irradiating the laser beam to the entire irradiating face. Further, another object of the present invention is to provide a manufacturing method of a semiconductor device including this laser irradiating method in a process. Therefore, the present invention is characterized in that the shapes of plural laser beams on the irradiating face are formed by an optical system in an elliptical shape or a rectangular shape, and the plural laser beams are irradiated while the irradiating face is moved in a first direction, and the plural laser beams are irradiated while the irradiating face is moved in a second direction and is moved in a direction reverse to the first direction.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: July 1, 2014
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 8759801
    Abstract: During ion implantation into a wafer, an ion beam current is measured, a change in vacuum conductance which changes in accordance with a change of the location of a structure operating in a vacuum beam line chamber or a vacuum treatment chamber is obtained, furthermore, changes in degree of vacuum at one or plural places are detected using a vacuum gauge installed in the vacuum beam line chamber or the vacuum treatment chamber. The amount of an ion beam current is corrected using the obtained vacuum conductance and the detected degree of vacuum at one or plural places, and the dose amount implanted into the wafer is controlled.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: June 24, 2014
    Assignee: Sen Corporation
    Inventors: Shiro Ninomiya, Mitsukuni Tsukihara, Tetsuya Kudo, Tatsuya Yamada
  • Patent number: 8759797
    Abstract: A drawing apparatus performs drawing overlaid with a shot formed on a substrate with a plurality of charged particle beams based on a plurality of drawing stripe data that constitute drawing data. The apparatus includes a charged particle optical system configured to generate the plurality of charged particle beams; and a controller configured to generate a plurality of intermediate stripe data as data of a plurality of intermediate stripes, adjacent ones of the plurality of intermediate stripes overlapping with each other, to obtain information on distortion of the shot, and to transform the plurality of intermediate stripe data based on the information on the distortion to generate the plurality of drawing stripe data.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: June 24, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masato Muraki, Tomoyuki Morita
  • Patent number: RE44977
    Abstract: A method and equipment which includes an illustrated-spot illumination-distribution data table for storing an illumination distribution within an illustrated spot and which calculates a coordinate position for a particle or a defect and the diameter of the particle on the basis of detection light intensity data about the particle or defect and the illustrated-spot illumination-distribution data table. Thus, even when the illumination distribution within the illustrated spot based on an actual illumination optical system is not a Gaussian distribution, the calculation of the particle diameter of the detected particle or defect and the calculation of a coordinate position on the surface of an object to be inspected can be attained with an increased accuracy.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: July 1, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takahiro Togashi, Shigeru Matsui