Irradiation Of Semiconductor Devices Patents (Class 250/492.2)
  • Patent number: 8759799
    Abstract: A charged particle beam writing apparatus according to an embodiment, includes a dose coefficient calculation unit to calculate an n-th dose correction coefficient in iterative calculation of a charged particle beam to be shot in a small region concerned by the iterative calculation, for each small region of small regions made by virtually dividing into mesh-like regions, a change rate calculation unit to calculate, for each small region, a rate of change from an (n-1)th dose correction coefficient to the n-th dose correction coefficient calculated in the iterative calculation, as an n-th change rate, a correction calculation unit to correct, for each small region, the n-th dose correction coefficient by using the n-th change rate, and a dose calculation unit to calculate, for each small region, a dose of a charged particle beam to be shot in a small region concerned by using the n-th dose correction coefficient corrected.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: June 24, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Hironobu Matsumoto
  • Patent number: 8759803
    Abstract: Ion implant apparatus using a drum-type scan wheel holds wafers with a total cone angle less than 60°. A collimated scanned beam of ions, for example H+, is directed along a final beam path which is at an angle of at least 45° to the axis of rotation of the scan wheel. Ions are extracted from a source and accelerated along a linear acceleration path to a high implant energy (more than 500 keV) before scanning or mass analysis. The mass analyzer may be located near the axis of rotation and unwanted ions are directed to an annular beam dump which may be mounted on the scan wheel.
    Type: Grant
    Filed: January 16, 2014
    Date of Patent: June 24, 2014
    Assignee: GTAT Corporation
    Inventors: Theodore Smick, Geoffrey Ryding, Hilton Glavish, Takao Sakase, William Park, Jr., Paul Eide, Drew Arnold, Ronald Horner, Joseph Gillespie
  • Patent number: 8755924
    Abstract: A charged particle beam writing method includes inputting layout information of a plurality of chips on which pattern formation is to be achieved, setting, using the layout information, a plurality of writing groups each being composed of at least one of the plurality of chips and each having writing conditions differing from each other, setting, for each of the plurality of writing groups, a frame which encloses a whole of all chip regions in the each of the plurality of writing groups, virtually dividing the frame into a plurality of stripe regions in a predetermined direction, with respect to the each of the plurality of writing groups, setting, using the plurality of stripe regions of all the plurality of writing groups, an order of each of the plurality of stripe regions such that a reference position of the each of the plurality of stripe regions is located in order in the predetermined direction regardless of the plurality of writing groups, and writing a pattern in the each of the plurality of stripe
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: June 17, 2014
    Assignee: NuFlare Technology, Inc.
    Inventor: Akihito Anpo
  • Publication number: 20140158914
    Abstract: An optical component arranged for use in a low pressure environment including: a surface arranged to receive extreme ultra-violet (EUV) light and a coating, on the surface, arranged to block at least one contaminant in the low pressure environment from binding to the surface. A method of mitigating contamination of a surface of an optical component, including: inserting the optical component into a chamber for a semi-conductor inspection system, controlling a temperature and a pressure within the chamber, introducing a blocking material, in a gaseous state, into the chamber, coating a surface of the optical component with the blocking material, and preventing, using the coating, a contaminant in the chamber from binding to the optical component.
    Type: Application
    Filed: December 9, 2013
    Publication date: June 12, 2014
    Inventors: Leonard E. Klebanoff, Jeromy T. Hollenshead, Gildardo Delgado, Elena Starodub, Karl R. Umstadter, Guorong V. Zhuang, Garry Rose
  • Patent number: 8748850
    Abstract: An energy application device applies optical energy on an adhesion sheet by a light radiator and, subsequently, applies heat energy on the adhesion sheet by a heater. With this arrangement, even the adhesion sheet, which includes an adhesive layer having an energy barrier that cannot be overcome only with optical energy, is enabled to start a photoreaction by overcoming an energy barrier with the heat energy from the heater.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: June 10, 2014
    Assignee: Lintec Corporation
    Inventor: Kimihiko Kawasaki
  • Patent number: 8748845
    Abstract: Ion sources, systems and methods are disclosed.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: June 10, 2014
    Assignee: Carl Zeiss Microscopy, LLC
    Inventors: Billy W. Ward, John A. Notte, Louis S. Farkas, Randall G. Percival, Raymond Hill, Klaus Edinger, Lars Markwort, Dirk Aderhold, Ulrich Mantz
  • Patent number: 8749179
    Abstract: A compact synchrotron radiation source includes an electron beam generator, an electron storage ring, one or more wiggler insertion devices disposed along one or more straight sections of the electron storage ring, the one or more wiggler insertion devices including a set of magnetic poles configured to generate a periodic alternating magnetic field suitable for producing synchrotron radiation emitted along the direction of travel of the electrons of the storage ring, wherein the one or more wiggler insertion devices are arranged to provide light to a set of illumination optics of a wafer optical characterization system or a mask optical characterization system, wherein the etendue of a light beam emitted by the one or more wiggler insertion devices is matched to the illumination optics of the at least one of a wafer optical characterization system and the mask optical characterization system.
    Type: Grant
    Filed: August 12, 2013
    Date of Patent: June 10, 2014
    Assignee: KLA-Tencor Corporation
    Inventors: Yanwei Liu, Daniel C. Wack
  • Publication number: 20140154891
    Abstract: Devices, systems, and methods for laser processing semiconductor materials are provided. In one aspect, a system for uniformly laser irradiating at least one wafer can include a wafer platter operable to receive and support a one or more wafers, a rotational movement system coupled to the wafer platter, the rotational movement system being operable to rotate the wafer platter in at least one of a clockwise or a counter clockwise direction, and a linear movement system coupled to the wafer platter and operable to move the wafer platter along one or more linear axes. The system can also include a laser source oriented to deliver laser radiation onto a wafer supported by the wafer platter at a fixed angle relative to the surface of the wafer, where the rotational movement system and the linear movement system are operable to maintain the fixed angle across the entirety of the wafer surface.
    Type: Application
    Filed: June 28, 2013
    Publication date: June 5, 2014
    Inventors: Keith Donaldson, Jason Sickler, James E. Carey, Romek Nowak
  • Patent number: 8742344
    Abstract: An inspection apparatus includes: beam generation means for generating any of charged particles and electromagnetic waves as a beam; a primary optical system that guides the beam into an inspection object held in a working chamber and irradiates the inspection object with the beam; a secondary optical system that detects secondary charged particles occurring from the inspection object; and an image processing system that forms an image on the basis of the detected secondary charged particles. The primary optical system includes a photoelectron generator having a photoelectronic surface. The base material of the photoelectronic surface is made of material having a higher thermal conductivity than the thermal conductivity of quartz. A central portion of the inspection object is provided with a central flat portion 390. The periphery of the central flat portion 390 is provided with peripheral flat portion 392 via a step 391. The periphery of the step 391 is provided with an electric field correction plate 400.
    Type: Grant
    Filed: September 13, 2013
    Date of Patent: June 3, 2014
    Assignee: Ebara Corporation
    Inventors: Masahiro Hatakeyama, Yasushi Toma, Shoji Yoshikawa, Kiwamu Tsukamoto
  • Patent number: 8742374
    Abstract: A hybrid ion implantation apparatus that is equipped with shaping masks that shape the two edges of a ribbon-like ion beam IB in the short-side direction, a profiler that measures the current distribution in the long-side direction of the ion beam IB shaped by the shaping masks, and an electron beam supply unit that supplies an electron beam EB across the entire region in the long-side direction of the ion beam IB prior to its shaping by the shaping masks, wherein the electron beam supply unit varies the supply dose of the electron beam EB at each location in the long-side direction of the ion beam IB according to results of measurements by the profiler.
    Type: Grant
    Filed: August 19, 2013
    Date of Patent: June 3, 2014
    Assignee: Nissin Ion Equipment Co., Ltd
    Inventor: Masao Naito
  • Patent number: 8742345
    Abstract: Methods for detecting an electron beam of a SEM and for detecting fine patterns are provided. Line patterns having a length in a first direction can be formed on a detection sample. A power spectral density (PSD) curve of a standardized model, formed under a same exposure process of the detection sample, can be obtained. An edge contour of each line pattern of the detection sample can be obtained by the SEM and can be sampled at a sampling frequency to obtain a variation range at a sampling point on the edge contour in a second direction that is perpendicular to the first direction. A PSD curve of the detection sample can be obtained according to the variation range and can be compared with the PSD curve of the standardized model to determine whether an electron beam of the SEM has a high quality in the second direction.
    Type: Grant
    Filed: September 17, 2013
    Date of Patent: June 3, 2014
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Boxiu Cai
  • Patent number: 8742381
    Abstract: A radiation source includes an uncapped Mo/Si multilayer mirror, and a cleaning apparatus configured to remove a deposition comprising Sn on the uncapped Mo/Si multilayer mirror. The cleaning apparatus is configured to provide a gas comprising one or more of H2, D2 and HD and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the radiation source, to produce hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas, and to supply the hydrogen and/or deuterium radicals and radicals of the one or more additional compounds to the uncapped Mo/Si multilayer mirror to remove at least part of the deposition.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: June 3, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Maarten Marinus Johannes Wilhelmus Van Herpen, Wouter Anthon Soer, Martin Jacobus Johan Jak
  • Patent number: 8735815
    Abstract: A system for electron pattern imaging includes: a device for converting electron patterns into visible light provided to receive an electron backscatter diffraction (EBSD) pattern from a sample and convert the EBSD pattern to a corresponding light pattern; a first optical system positioned downstream from the device for converting electron patterns into visible light for focusing the light pattern produced by the device for converting electron patterns into visible light; a camera positioned downstream from the first optical system for obtaining an image of the light pattern; an image intensifier positioned between the device for converting electron patterns into visible light and the camera for amplifying the light pattern produced by the device for converting electron patterns into visible light; and a device positioned within the system for protecting the image intensifier from harmful light.
    Type: Grant
    Filed: June 24, 2013
    Date of Patent: May 27, 2014
    Assignee: EDAX, Inc.
    Inventors: Reinhard Buchhold, Brent Hammell, Joseph A. Nicolosi, Peter Antonie de Kloe
  • Patent number: 8735814
    Abstract: The electron beam device includes a source of electrons and an objective deflector. The electron beam device obtains an image on the basis of signals of secondary electrons, etc. which are emitted from a material by an electron beam being projected. The electron beam device further includes a bias chromatic aberration correction element, further including an electromagnetic deflector which is positioned closer to the source of the electrons than the objective deflector, and an electrostatic deflector which has a narrower interior diameter than the electromagnetic deflector, is positioned within the electromagnetic deflector such that the height-wise position from the material overlaps with the electromagnetic deflector, and is capable of applying an offset voltage. It is thus possible to provide an electron beam device with which it is possible to alleviate geometric aberration (parasitic aberration) caused by deflection and implement deflection over a wide field of view with high resolution.
    Type: Grant
    Filed: October 5, 2011
    Date of Patent: May 27, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yasunari Sohda, Takeyoshi Ohashi, Tasuku Yano, Muneyuki Fukuda, Noritsugu Takahashi
  • Patent number: 8735233
    Abstract: A crystalline silicon thin film is formed by irradiating a silicon thin film with a laser beam. The laser beam is a continuous wave laser beam. An intensity distribution of the laser beam in a first region about a center of the intensity distribution is symmetric on an anterior side and a posterior side of the center. The intensity distribution in a second region about the center is asymmetric on the anterior side and the posterior side. The first region is from the maximum intensity of the laser beam at the center to an intensity half of the maximum intensity. The second region is at most equal to the half of the maximum intensity of the laser beam. In the second region, an integral intensity value on the posterior side is larger than on the anterior side.
    Type: Grant
    Filed: April 19, 2012
    Date of Patent: May 27, 2014
    Assignee: Panasonic Corporation
    Inventors: Tomohiko Oda, Takahiro Kawashima
  • Patent number: 8729492
    Abstract: A multiple-deflection blanker for charged particle beam lithography includes a support structure, a first pair of electrodes mounted to the support structure and providing a first electric field, a second pair of electrodes mounted to the support structure and providing a second electric field, at least a third pair of electrodes mounted to the support structure and providing a third electric field, and a surface, such as, an aperture or knife edge, positioned to obstruct a charged particle beam passed through the electric fields. The blanker may include at least a fourth pair of electrodes providing a fourth electric field and apparatus for regulating the time of the excitation of the electric fields. Methods for exposing media to charged particles and aperture holders are also provided.
    Type: Grant
    Filed: July 20, 2011
    Date of Patent: May 20, 2014
    Assignee: The Research Foundation for The State University of New York
    Inventors: Junru Ruan, John G. Hartley, Gregory Denbeaux
  • Patent number: 8729507
    Abstract: A multi charged particle beam writing method includes calculating first shot positions of multiple beams, each of which includes a distortion amount of an irradiating corresponding beam, in a case of irradiating each beam, based on control grid intervals, calculating first condition positions based on a pre-set condition, each of which is arranged in a corresponding first region surrounded by closest second shot positions of 2×2 in length and width of the first shot positions, calculating, for each of second regions respectively surrounded by closest second condition positions of the first condition positions, an area density of a figure pattern in overlapping with a second region concerned, calculating an irradiation amount or an irradiation time of each beam whose corresponding first shot position is in a corresponding second region, based on an area density, and writing a pattern by irradiating a beam of the calculated irradiation amount or time.
    Type: Grant
    Filed: May 17, 2013
    Date of Patent: May 20, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Ryoichi Yoshikawa, Muehiro Ogasawara
  • Patent number: 8723143
    Abstract: A focused ion beam (FIB) system is disclosed, comprising an inductively coupled plasma ion source, an insulating plasma chamber containing the plasma, a conducting source biasing electrode in contact with the plasma and biased to a high voltage to control the ion beam energy at a sample, and a plurality of apertures. The plasma within the plasma chamber serves as a virtual source for an ion column comprising one or more lenses which form a focused ion beam on the surface of a sample to be imaged and/or FIB-processed. The plasma is initiated by a plasma igniter mounted near or at the column which induces a high voltage oscillatory pulse on the source biasing electrode. By mounting the plasma igniter near the column, capacitive effects of the cable connecting the source biasing electrode to the biasing power supply are minimized. Ion beam sputtering of the apertures is minimized by proper aperture materials selection.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: May 13, 2014
    Assignee: FEI Company
    Inventors: Anthony Graupera, Sean Kellogg, Tom Miller, Dustin Laur, Shouyin Zhang, Antonius Bastianus Wilhelmus Dirriwachter
  • Patent number: 8723136
    Abstract: A method of operating a particle beam system includes determining a deflection amount and a deflection time of a beam deflection module connected to a data network. The method also includes determining an un-blank time of a beam blanking module connected to the data network, and determining a blank time of the beam blanking module connected to the data network. The method further includes generating a data structure which includes plural data records, wherein each data record includes a command representing an instruction for at least one of the modules, and a command time representing a time at which the instruction is to be sent to the data network. In addition, the method includes sorting the records of the data structure by command time, and generating a set of digital commands based on the data structure. Moreover, the method includes sending the digital commands of the set to the network in an order corresponding to an order of the sorted records.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 13, 2014
    Assignee: Carl Zeiss Microscopy GmbH
    Inventors: Josef Biberger, Ralph Pulwey, Volker Wieczorek
  • Publication number: 20140127914
    Abstract: A charged particle beam writing apparatus includes a map generation unit to generate a map where a parameter concerning a chip is defined for each mesh region obtained by virtually dividing a region including the chip including a plurality of figure patterns into a plurality of mesh regions, an exchange unit to, when performing at least one data processing of reversal and rotation for data of the chip, centering on the center of the chip or the center of the region including the chip, exchange parameters each being the parameter defined for each mesh region in the map, to be corresponding to the position of a figure pattern for which the data processing was performed, and a writing unit to write the figure pattern in the chip for which at least one data processing of reversal and rotation was performed on a target object with a charged particle beam.
    Type: Application
    Filed: October 29, 2013
    Publication date: May 8, 2014
    Applicant: NuFlare Technology, Inc.
    Inventors: Saori GOMI, Yusuke Sakai
  • Patent number: 8716681
    Abstract: In one embodiment, a sample processing method includes placing a sample on a sample placing module, and setting first processing boxes on one side of slice formation scheduled regions of the sample, and second processing boxes on the other side thereof. The method includes processing the sample by performing a primary scan which sequentially scans the first processing boxes with a continuously generated ion beam, and a secondary scan which sequentially scans the second processing boxes with a continuously generated ion beam, to form slices of the sample. The primary and secondary scans are performed so that a first scanning condition for scanning first regions within the first and second processing boxes is set different from a second scanning condition for scanning second regions between the first processing boxes and between the second processing boxes, to allow frame portions of the sample to remain in the second regions.
    Type: Grant
    Filed: August 30, 2013
    Date of Patent: May 6, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hitomi Kawaguchiya, Mitsuo Koike
  • Patent number: 8716678
    Abstract: A method is provided for manufacturing a microstructure on a substrate in which the substrate has thereon linear and parallel atomic steps. The microstructure includes linear elements that extend along the atomic steps. The method includes a step for preparing a substrate having atomic steps on its surface and a step for applying linear elements onto the substrate. Each linear element is oriented to extend along one of the atomic steps, with the result that a microstructure in which the linear elements extend along the atomic steps is formed on the substrate. The substrate can be prepared by subjecting a silicon carbide substrate, a sapphire substrate, or a zinc oxide substrate to an ultrasmoothing process. As the linear elements, peptide fibers can be employed that are made up of peptide molecules that form ?-sheet structures.
    Type: Grant
    Filed: February 16, 2009
    Date of Patent: May 6, 2014
    Assignee: Fujimi Incorporated
    Inventors: Osamu Eryu, Takatoshi Kinoshita, Kenji Kawata, Kazutoshi Hotta
  • Publication number: 20140117258
    Abstract: An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light.
    Type: Application
    Filed: August 12, 2013
    Publication date: May 1, 2014
    Applicant: Energetiq Technology, Inc.
    Inventor: Donald K. Smith
  • Patent number: 8710468
    Abstract: Stored energy is evaluated for each of segmented regions, and using the evaluated stored energy, an optimal irradiation amount for an electron beam is evaluated by a conjugate gradient method. The evaluated stored energy is used instead of calculating a determinant (Apk) in the procedure that includes calculation of the determinant (Apk) from among repeated calculation procedures that follow the conjugate gradient method and seek to answer a simultaneous linear equation (Ax=b) with a matrix (A) as a coefficient. Thus it is possible to evaluate the optimal irradiation amount for an electron beam with a high processing speed and a high degree of accuracy, and without expressly requiring the calculation of Apk, by managing the giant matrix (A) comprising numerous factors according to reduction of lines of circuitry in a circuit pattern.
    Type: Grant
    Filed: July 27, 2011
    Date of Patent: April 29, 2014
    Assignee: Nippon Control System Corporation
    Inventors: Dai Tsunoda, Masahiro Shoji, Hiroyuki Tsunoe, Shuji Hada, Ayuko Sato
  • Patent number: 8710467
    Abstract: In accordance with one aspect of this invention, a multi charged particle beam writing apparatus includes an aperture member, in which a plurality of openings are formed, configured to form multi-beams by making portions of the charged particle beam pass through the plurality of openings; a plurality of blankers configured to perform blanking-deflect regarding beams corresponding to the multi-beams; a writing processing control unit configured to control writing processing with a plurality of beams having passed through different openings among the plurality of openings being irradiated on the target object at a predetermined control grid interval; and a dose controlling unit configured to variably control a dose of a beam associated with deviation according to a deviation amount when an interval between the plurality of beams irradiated is deviated from the control grid interval.
    Type: Grant
    Filed: August 29, 2012
    Date of Patent: April 29, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Ryoichi Yoshikawa, Munehiro Ogasawara
  • Patent number: 8710458
    Abstract: A method of forming an integrated circuit includes providing a wafer, and a tape adhered to the wafer, wherein the tape has a main surface perpendicular to a first direction. The tape is exposed to a light to cause the tape to lose adhesion. In the step of exposing the tape, the wafer and the tape are rotated, and/or the light is tilt projected onto the tape, wherein a main projecting direction of the light and the first direction form a tilt angle greater than zero degrees and less than 90 degrees.
    Type: Grant
    Filed: October 19, 2010
    Date of Patent: April 29, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Hsiang Hu, Chen-Fa Lu, Chung-Shi Liu
  • Patent number: 8710439
    Abstract: Provided is a charged particle beam apparatus or charged particle microscope capable of observing an observation target sample in an air atmosphere or a gas environment without making significant changes to the configuration of a conventional high vacuum charged particle microscope. In a charged particle beam apparatus configured such that a thin film (10) is used to separate a vacuum environment and an air atmosphere (or a gas environment), an attachment (121) capable of holding the thin film (10) and whose interior can be maintained at an air atmosphere or a gas environment is inserted into a vacuum chamber (7) of a high vacuum charged particle microscope. The attachment (121) is vacuum-sealed and fixed to a vacuum partition of the vacuum sample chamber. Image quality is further improved by replacing the atmosphere in the attachment with helium or a light-elemental gas that has a lower mass than atmospheric gases such as nitrogen or water vapor.
    Type: Grant
    Filed: March 2, 2012
    Date of Patent: April 29, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yusuke Ominami, Sukehiro Ito, Tomohisa Ohtaki
  • Patent number: 8710461
    Abstract: The invention relates to an assembly, preferably for use in a lithography system or a microscopy system, for providing an accurately aligned stack of two or more modules in a stacking direction. Each of the two or more modules comprises three support members. The assembly comprises a frame comprising three planar alignment surfaces which extend in the stacking direction and which are angularly off-set with respect to each other. In addition each of the three support members of each one of the two or more modules, when arranged in said frame, abuts against a corresponding one of the three alignment surfaces. The frame is provided with an opening between two of the three planar alignment surfaces for inserting a module in the assembly, said two planar alignment surfaces on either side of the opening are arranged at least partially facing said opening.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: April 29, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventor: Pieter Kappelhof
  • Patent number: 8710465
    Abstract: A method and system for exposing a target according to pattern data in a maskless lithography machine generating a plurality of exposure beamlets for exposing the target. The method comprises providing input pattern data in a vector format, rendering and quantizing the input pattern data to generate intermediate pattern data, and re-sampling and re-quantizing the intermediate pattern data to generate output pattern data. The output pattern data is supplied to the lithography machine, and the beamlets generated by the lithography machine are modulated on the basis of the output pattern data.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: April 29, 2014
    Assignee: Mapper Lithography IP B.V.
    Inventors: Teunis Van De Peut, Marco Jan-Jaco Weiland
  • Patent number: 8710469
    Abstract: In an ion implantation method, ion implantation into a substrate is performed while changing a relative positional relation between an ion beam and the substrate. A first ion implantation process in which a uniform dose amount distribution is formed within the substrate and a second ion implantation process in which a non-uniform dose amount distribution is formed within the substrate are performed in a predetermined order. Moreover, a cross-sectional size of an ion beam irradiated on the substrate during the second ion implantation process is set smaller than a cross-sectional size of an ion beam irradiated on the substrate during the first ion implantation process.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: April 29, 2014
    Assignee: Nissin Ion Equipment Co., Ltd
    Inventors: Hirofumi Asai, Yoshikazu Hashino
  • Patent number: 8710464
    Abstract: Separation and the like of an excised specimen from a specimen are automatically performed. Marks for improving image recognition accuracy are provided in a region that becomes an excised specimen in a specimen and a region other than said region, or in a transfer means for transferring the excised specimen and a specimen holder capable of holding the excised specimen, and the relative movement of the excised specimen and the specimen, and the like are recognized with high accuracy by image recognition. In the sampling of a minute specimen using a focused ion beam, the detection of an end point of processing for separation of the excised specimen from the specimen, and the like are automatically performed. Thus, for example, unmanned specimen excision becomes possible, and preparation of a lot of specimens becomes possible.
    Type: Grant
    Filed: October 23, 2009
    Date of Patent: April 29, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuichi Madokoro, Tsuyoshi Onishi, Megumi Aizawa, Yukio Yoshizawa
  • Publication number: 20140110606
    Abstract: A near-field optical defect inspection apparatus according to an aspect of this invention includes a motor, a slider, a slider-moving mechanism, a light source, and a light-collecting probe. The motor rotates an object to be inspected. The slider slides above the rotating object. The slider-moving mechanism supports the slider and moves it above the object rotated by the motor. The light source emits inspection light that irradiates the object rotated by the motor, the inspection light propagating through an internal region of the object to be inspected. The light-collecting probe has an opening, from which the probe collects near-field light due to a defect in the object irradiated with the inspection light. The opening, formed on a surface of the slider that is opposed to the object to be inspected, has a maximal diameter smaller than a wavelength of visible light.
    Type: Application
    Filed: May 27, 2011
    Publication date: April 24, 2014
    Applicant: Hitachi, Ltd.
    Inventors: Masaru Furukawa, Junguo Xu
  • Patent number: 8704464
    Abstract: A charged particle orbit control device (100) is used in a ring-shaped charged particle accelerator or a charged particle storage ring. The charged particle orbit control device (100) is configured to enable the orbit of a charged particle to return to the original orbit in multiple cycles. The charged particle orbit control device (100) includes multiple bending magnets (1) that bend the charged particle (3). In the charged particle orbit control device (100), the bending angle and relative position of each bending magnet (1) are prescribed such that every time the charged particle (3) passes through, the orbit of the charged particle (3) in each bending magnet (1) alternately switches between two orbits.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: April 22, 2014
    Assignee: Hiroshima University
    Inventors: Shigemi Sasaki, Atsushi Miyamoto
  • Patent number: 8698095
    Abstract: The charged particle beam drawing apparatus of the present invention performs drawing to a substrate with a plurality of charged particle beams. The drawing apparatus includes an electron lens positioned at a location facing opposite to the substrate and including a plurality of holes through which the charged particle beams pass; and a cleaning unit configured to release active species to a decomposition product that has adhered to the electron lens and reduce the decomposition product by the reaction of the active species and the decomposition product to thereby change the decomposition product to a volatile gas. Here, the cleaning unit has a plurality of openings formed such that the active species are released toward the plurality of holes of the electron lens.
    Type: Grant
    Filed: January 5, 2012
    Date of Patent: April 15, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Ichiro Tanaka, Akira Miyake, Hiromitsu Takase
  • Patent number: 8698106
    Abstract: A method and apparatus are described herein which allow the progression of delamination of a film to be monitored. An interferometer is used to detect the onset and progression of thin film delamination. By projecting one or more wavelengths at a surface, and measuring the reflectance of these projected wavelengths, it is possible to monitor the progression of the delamination process. Testing has shown that different stages of the delamination process produce different reflectance graphs. This information can be used to establish implantation parameters, or can be used as an in situ monitor. The same techniques can be used for other applications. For example, in certain implantation systems, such as PECVD, a film of material may developed on the walls of the chamber. The techniques described herein can be used to monitor this separation, and determine when preventative maintenance may be performed on the chamber.
    Type: Grant
    Filed: April 23, 2009
    Date of Patent: April 15, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Helen Maynard, George D. Papasouliotis
  • Patent number: 8698105
    Abstract: A charged particle beam apparatus which is able to adjust charged particle optics easily in a short time with a high degree of accuracy and a method of adjusting charged particle optics are provided.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: April 15, 2014
    Assignee: SII NanoTechnology
    Inventors: Takashi Ogawa, Yo Yamamoto, Hiroshi Matsumura
  • Patent number: 8692216
    Abstract: A vertical profile, a horizontal profile, and an integrated current value of an ion beam are measured by a plurality of stationary beam measuring instruments and a movable or stationary beam measuring device. At a beam current adjustment stage before ion implantation, a control device simultaneously performs at least one of adjustment of a beam current to a preset value of the beam current, adjustment of a horizontal beam size that is necessary to secure uniformity of the horizontal ion beam density, and adjustment of a vertical beam size that is necessary to secure the uniformity of the vertical ion implantation distribution on the basis of a measurement value of the stationary beam measuring instruments and the movable or stationary beam measuring device.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 8, 2014
    Assignee: Sen Corporation
    Inventors: Hiroyuki Kariya, Masaki Ishikawa, Yoshiaki Inda, Takeshi Kurose, Takanori Yagita, Toshio Yumiyama
  • Patent number: 8692197
    Abstract: A scanning electron microscope and an optical-condition setting method are provided. The optical condition allows the suppression of a lowering in the measurement and inspection accuracy caused by the influence of electrification, even if there are a large number of measurement and inspection points. A pattern on a sample is measured based on the detection of electrons by scanning the sample surface with an electron beam. A change in measurement values relative to the number of measurements is determined from the measurement values at a plurality of measurement points on the sample, and the sample-surface electric field is controlled so that the inclination of the change becomes equal to zero, or becomes close to zero.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: April 8, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Zhigang Wang, Nobuhiro Okai, Ritsuo Fukaya
  • Patent number: 8692214
    Abstract: An imaging method and apparatus for forming images of substantially the same area on a sample for defect inspection within the area are disclosed. The disclosed method includes line-scanning the charged particle beam over the area to form a plurality of n*Y scan lines by repeatedly forming a group of n scan lines for Y times. During the formation of each group of n scan lines, an optical beam is, from one line scan to another, selectively illuminated on the area prior to or simultaneously with scanning of the charged particle beam. In addition, during the formation of each group of n scan lines, a condition of illumination of the optical beam selectively changes from one line scan to another. The conditions at which individual n scan lines are formed are repeated for the formation of all Y groups of scan lines.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: April 8, 2014
    Assignee: Hermes Microvision, Inc.
    Inventors: Yan Zhao, Jack Jau
  • Patent number: 8692219
    Abstract: A method that includes implantation of dopants while a III-nitride body is being grown on a substrate, and an apparatus for the practice of the method.
    Type: Grant
    Filed: March 7, 2013
    Date of Patent: April 8, 2014
    Assignee: International Rectifier Corporation
    Inventor: Michael A. Briere
  • Patent number: 8686370
    Abstract: A method is disclosed for in-situ monitoring of an EUV mirror to determine a degree of optical degradation. The method may comprise the steps/acts of irradiating at least a portion of the mirror with light having a wavelength outside the EUV spectrum, measuring at least a portion of the light after the light has reflected from the mirror, and using the measurement and a pre-determined relationship between mirror degradation and light reflectivity to estimate a degree of multi-layer mirror degradation. Also disclosed is a method for preparing a near-normal incidence, EUV mirror which may comprise the steps/acts of providing a metallic substrate, diamond turning a surface of the substrate, depositing at least one intermediate material overlying the surface using a physical vapor deposition technique, and depositing a multi-layer mirror coating overlying the intermediate material.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: April 1, 2014
    Assignee: Cymer, LLC
    Inventors: Norbert R. Bowering, Oleh V. Khodykin
  • Patent number: 8686380
    Abstract: The present invention provides a charged particle beam apparatus that keeps the degree of vacuum in the vicinity of the electron source to ultra-high vacuum such as 10?8 to 10?9 Pa even in the state where electron beams are emitted using a non-evaporable getter pump and is not affected by dropout foreign particles. The present invention includes a vacuum vessel in which a charged particle source (electron source, ion source, etc.) is disposed and a non-evaporable getter pump disposed at a position that does not directly face electron beams and includes a structure that makes the non-evaporable getter pump upward with respect to a horizontal direction to drop out foreign particles into a bottom in a groove, so that the foreign particles dropped out from the non-evaporable getter pump do not face an electron optical system.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: April 1, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Souichi Katagiri, Takashi Ohshima, Sho Takami, Makoto Ezumi, Takashi Doi, Yuji Kasai
  • Patent number: 8686378
    Abstract: A charged particle beam drawing apparatus includes an electrostatic lens including an electrode member and configured to project the plurality of charged particle beams onto the substrate via the electrode member. In the electrode member are formed a plurality of first openings via which the plurality of charged particle beams pass, and a plurality of second openings different from the plurality of first openings, a total area of the plurality of second openings being not smaller than a total area of the plurality of first openings.
    Type: Grant
    Filed: July 17, 2012
    Date of Patent: April 1, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shigeru Terashima
  • Publication number: 20140084184
    Abstract: A drawing apparatus accepts a selection manipulation for selecting the type of shape of a light outgoing ratio function defining a relationship between the position of modulation units included in an optical unit as seen in the direction of the arrangement of the modulation units and a light outgoing ratio from among a plurality of shape type candidates. The drawing apparatus then adjusts the light outgoing ratio of each of the modulation units in accordance with the accepted shape type. While emitting a beam of drawing light of a strip-shaped cross-sectional configuration from the optical unit, the drawing apparatus moves the optical unit relative to a substrate in a direction orthogonal to the direction of the longer dimension of the strip-shaped cross section of the drawing light beam to perform a drawing process on the substrate.
    Type: Application
    Filed: June 7, 2013
    Publication date: March 27, 2014
    Inventors: Yoshinori HONJO, Makoto UESATO
  • Patent number: 8680489
    Abstract: An installation and method for etching at least one wafer coated with an etch-ready, blank photosensitive layer is disclosed. In accordance with an embodiment, the wafer has thickness irregularities, wherein the wafer is arranged to be able to be submitted to irradiation-beam scanning, a sheet transparent to the radiation to which the photosensitive layer is sensitive covers the wafer, and a probe beam intended to reflect on the upper portion of the sheet perpendicularly to the irradiation beam spot on the photosensitive layer is provided.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: March 25, 2014
    Assignee: Commissariat à L'Énergie Atomique et aux Énergies Alternatives
    Inventors: Christophe Martinez, Pascal Boulitreau, Fabien Laulagnet
  • Patent number: 8680488
    Abstract: A system and method for using electron beams with engineered phase dislocations as scanned probes in electron probe beam instruments such as scanning transmission electron microscopes. These types of electron beams have unique properties and can provide better information about a specimen than conventional electron beams. Phase dislocations may be created based on a pattern disposed on a nanoscale hologram, which may be placed in the electron optical column of the electron probe beam instrument. When an electron beam from the instrument is directed onto the hologram, phase dislocations may be imprinted onto the electron beam when electrons are diffracted from these holograms. For example, electron probe beams with spiral phase dislocations may occur. These spiral phase dislocations are formed using a hologram with a fork-patterned grating. Spiral phase dislocations may be used to provide magnetic contrast images of a specimen.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: March 25, 2014
    Inventor: Benjamin McMorran
  • Publication number: 20140080323
    Abstract: An apparatus for irradiating a semiconductor is disclosed. The apparatus has a curved mirror with a reflective surface of revolution, and a point source generating an irradiation beam being incident on the curved mirror along an incident direction. The curved mirror and the point source form a system having an axis of revolution wherein the point source is provided on or near said axis of revolution. The axis of revolution substantially coincides with a straight line projection to be generated on a semiconductor substrate. Additionally, the use of such an apparatus for manufacturing a selective emitter grid, or for irradiating a large area semiconductor surface in a scanning movement, is disclosed.
    Type: Application
    Filed: March 28, 2012
    Publication date: March 20, 2014
    Applicant: EXCICO FRANCE
    Inventors: Bruno Godard, Jean-Blaise Migozzi
  • Patent number: 8674327
    Abstract: Systems and methods for uniformly implanting materials on substrates using directed magnetic fields are provided. One such system includes a chamber configured to receive a preselected material and to enclose a first substrate, first and second rotating assemblies configured to facilitate an implantation of the preselected material onto first and second surfaces of the first substrate and including first and second rotating magnet sub-assemblies configured to direct magnetic fields onto the first and second surfaces, and an RF energizer configured to apply RF energy to the first substrate, where the first magnetic field and the second magnetic field combine to form a resultant magnetic field that is substantially parallel along the first surface, and where the implantation of the preselected material onto the first substrate occurs based on a combination of the RF energy and the resultant magnetic field.
    Type: Grant
    Filed: May 10, 2012
    Date of Patent: March 18, 2014
    Assignee: WD Media, LLC
    Inventors: Chin Yim Poon, Yew Ming Chiong, Paul C. Dorsey, Tatsuru Tanaka
  • Patent number: 8669540
    Abstract: An electrostatic clamp includes a heating block for heating a substrate, the heating block having a first surface disposed toward the substrate and a second surface opposite the first surface. A base is arranged to adjoin at least a portion of the second surface of the heating block. The adjoined base and heating block may mutually define an inner gap between a first portion of the heating block and the base. An outer gap is arranged concentric with the inner gap between a second portion of the heating block and the base, the inner and outer gaps being isolated from one another by a first sealing surface formed between the second surface of the heating block and the base.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: March 11, 2014
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Timothy J. Miller, Richard S. Muka, Julian G. Blake
  • Patent number: RE44840
    Abstract: A method and equipment which includes an illustrated-spot illumination-distribution data table for storing an illumination distribution within an illustrated spot and which calculates a coordinate position for a particle or a defect and the diameter of the particle on the basis of detection light intensity data about the particle or defect and the illustrated-spot illumination-distribution data table. Thus, even when the illumination distribution within the illustrated spot based on an actual illumination optical system is not a Gaussian distribution, the calculation of the particle diameter of the detected particle or defect and the calculation of a coordinate position on the surface of an object to be inspected can be attained with an increased accuracy.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: April 15, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takahiro Togashi, Shigeru Matsui