Etching Or Brightening Compositions Patents (Class 252/79.1)
  • Patent number: 10647887
    Abstract: The invention provides a chemical-mechanical polishing composition comprising a) surface-modified colloidal silica particles, comprising a negatively-charged group on the surface of the particles, wherein the surface-modified colloidal silica particles have a negative charge, a particle size of about 90 nm to about 350 nm, and a zeta potential of about ?5 mV to about ?35 mV at a pH of about 3, b) an iron compound, c) a stabilizing agent, d) a corrosion inhibitor, and e) an aqueous carrier. The invention also provides a method suitable for polishing a substrate.
    Type: Grant
    Filed: January 8, 2018
    Date of Patent: May 12, 2020
    Assignee: Cabot Microelectronics Corporation
    Inventors: Kevin P. Dockery, Pankaj K. Singh, Steven Grumbine, Kim Long
  • Patent number: 10633491
    Abstract: The invention provides a radically polymerizable compound wherein an acid group is joined via a spacer group to a polyethyleneimine group. The polyethyleneimine group has at least one radically polymerizable group in the side chain and/or terminally. The invention relates further to a process for preparing such compounds by cationic polymerization of oxazolines, to the use of such compounds as constituents of a dental material, and to a dental material comprising the compounds of the invention.
    Type: Grant
    Filed: October 16, 2017
    Date of Patent: April 28, 2020
    Assignee: MUHLBAUER TECHNOLOGY GMBH
    Inventors: Stephan Neffgen, Olav-Sven Becker
  • Patent number: 10597753
    Abstract: The subject matter described herein includes a method for extracting a desired metal from a substance. The method includes contacting the substance with an alkaline leach to separate the desired metal from the substance, providing ultrasound energy and anodic current on a work face of the substance, etching the substance with the alkaline leach, thereby releasing the desired metal from the substance, and collecting the released desired metal.
    Type: Grant
    Filed: September 23, 2019
    Date of Patent: March 24, 2020
    Assignee: ALL GREEN RECYCLING, INC.
    Inventors: John Christopher Willcox, Matthew James Johnson, Peter J. Jegou
  • Patent number: 10573524
    Abstract: A process for chemical mechanical polishing a substrate containing titanium nitride and titanium is provided comprising: providing a polishing composition, containing, as initial components: water; an oxidizing agent; a linear polyalkylenimine polymer; a colloidal silica abrasive with a positive surface charge; a carboxylic acid; a source of ferric ions; and, optionally pH adjusting agent; wherein the polishing composition has a pH of 1 to 4; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein at least some of the titanium nitride and at least some of the titanium is polished away with a selectivity between titanium nitride and titanium.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: February 25, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Wei-Wen Tsai, Cheng-Ping Lee, Jiun-Fang Wang
  • Patent number: 10557107
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of alkali hydroxides, alkaline earth metal hydroxides, and tetramethylammonium hydroxide. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: February 11, 2020
    Assignee: ENTEGRIS, INC.
    Inventors: Laisheng Sun, Peng Zhang, Jun Liu, Steven Medd, Jeffrey A. Barnes, Shrane Ning Jenq
  • Patent number: 10557058
    Abstract: The polishing agent of the invention comprises water, an abrasive grain containing a hydroxide of a tetravalent metal element, polyalkylene glycol, and at least one cationic polymer selected from the group consisting of allylamine polymers, diallylamine polymers, vinylamine polymers and ethyleneimine polymers.
    Type: Grant
    Filed: February 14, 2013
    Date of Patent: February 11, 2020
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Toshiaki Akutsu, Hisataka Minami, Tomohiro Iwano, Koji Fujisaki
  • Patent number: 10557060
    Abstract: A process for chemical mechanical polishing a substrate containing tungsten and titanium is provided comprising: providing the substrate; providing a polishing composition, containing, as initial components: water; an oxidizing agent; an allylamine additive; a carboxylic acid; a source of iron ions; a colloidal silica abrasive with a positive surface charge; and, optionally pH adjusting agent; providing a chemical mechanical polishing pad, having a polishing surface; creating dynamic contact at an interface between the polishing pad and the substrate; and dispensing the polishing composition onto the polishing surface at or near the interface between the polishing pad and the substrate; wherein the tungsten (W) is selectively polished away from the substrate relative to the titanium (Ti).
    Type: Grant
    Filed: March 1, 2016
    Date of Patent: February 11, 2020
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Lin-Chen Ho, Wei-Wen Tsai, Cheng-Ping Lee, Jiun-Fang Wang
  • Patent number: 10549399
    Abstract: A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, and produces absorbance of 1.00 or more and less than 1.50 for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %.
    Type: Grant
    Filed: March 26, 2013
    Date of Patent: February 4, 2020
    Assignee: HITACHI CHEMCIAL COMPANY, LTD.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Patent number: 10526508
    Abstract: Provided are a slurry composition for CMP and a polishing method using the same, in which polishing can be performed by freely adjusting the selectivity ratio of a silicon oxide film, a silicon nitride and a polysilicon film through control of the amounts of additive and solvent, and thus the slurry composition can be efficiently applied to the process of manufacturing a semiconductor, requiring selective removal of a silicon nitride film and a polysilicon film relative to a silicon oxide film.
    Type: Grant
    Filed: September 23, 2016
    Date of Patent: January 7, 2020
    Assignees: YOUNG CHANG CHEMICAL CO., LTD., SKC CO., LTD.
    Inventors: Seung Hun Lee, Seung Hyun Lee, Su Jin Lee, Seong Hwan Kim
  • Patent number: 10508219
    Abstract: The inventive method comprises chemically-mechanically polishing a substrate with an inventive polishing composition comprising a liquid carrier and abrasive particles that have been treated with a compound.
    Type: Grant
    Filed: November 9, 2016
    Date of Patent: December 17, 2019
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Shoutian Li, William Ward, Pankaj Singh, Jeffrey Dysard
  • Patent number: 10507563
    Abstract: Provided is a treatment composition for chemical mechanical polishing, for treating an object to be treated including a wiring layer containing a metal, the treatment composition for chemical mechanical polishing containing: (A) a nitrogen-containing compound; (B) at least one kind of compound selected from the group consisting of a surfactant and polyacrylic acid; and (D) a pH adjusting agent, in which in terms of electrode charge transfer resistance value obtained by AC impedance measurement using the metal for an electrode, a sum of electrode charge transfer resistance values RA+RB in aqueous solutions each containing the component (A) or (B) and the component (D), and an electrode charge transfer resistance value RC in an aqueous solution containing the components (A), (B), and (D) have a relationship of RC/(RA+RB)>1.
    Type: Grant
    Filed: March 30, 2016
    Date of Patent: December 17, 2019
    Assignee: JSR CORPORATION
    Inventors: Kiyotaka Mitsumoto, Tatsuyoshi Kawamoto, Tatsuya Yamanaka, Megumi Arakawa, Eiichirou Kunitani, Masashi Iida
  • Patent number: 10497614
    Abstract: Semiconductor structures and fabrication methods are provided. An exemplary fabrication method includes providing a base substrate; forming a dielectric layer having an opening on the base substrate; forming a Ruthenium (Ru)-containing layer on side surfaces and a bottom of the opening and on a top surface of the dielectric layer; forming a Copper (Cu) containing layer to fill the opening and cover the Ruthenium (Ru)-containing layer; performing a first chemical mechanical polishing (CMP) step to remove a first partial thickness of the Copper (Cu)-containing layer; performing a second CMP step using a polishing slurry containing a Cu-corrosion-inhibitor to remove a second partial thickness of the Copper (Cu)-containing layer above the Ruthenium (Ru)-containing layer; and performing a third CMP step using a polishing slurry containing a Cu-corrosion-inhibitor to remove a third partial thickness of the Copper (Cu)-containing layer above the dielectric layer.
    Type: Grant
    Filed: June 12, 2018
    Date of Patent: December 3, 2019
    Assignees: Semiconductor Manufacturing International (Shanghai) Corporation, Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Li Jiang
  • Patent number: 10465112
    Abstract: The disclosure is related to a composition for etching, a method for manufacturing the composition, and a method for fabricating a semiconductor using the same. The composition may include a first inorganic acid, at least one of silane inorganic acid salts produced by reaction between a second inorganic acid and a silane compound, and a solvent. The second inorganic acid may be at least one selected from the group consisting of a sulfuric acid, a fuming sulfuric acid, a nitric acid, a phosphoric acid, and a combination thereof.
    Type: Grant
    Filed: December 18, 2017
    Date of Patent: November 5, 2019
    Inventors: Jin Uk Lee, Jae Wan Park, Jung Hun Lim
  • Patent number: 10465296
    Abstract: An etchant composition includes an etchant composition that includes about 0.5 wt % to about 20 wt % of persulfate, about 0.01 wt % to about 2 wt % of a fluorine compound, about 1 wt % to about 10 wt % of an inorganic acid, about 0.5 wt % to about 5 wt % of a cyclic amine compound, about 0.1 wt % to about 5 wt % of a chlorine compound, about 0.1 wt % to about 10 wt % of an aliphatic sulfonic acid, about 1 wt % to about 20 wt % of an organic acid or an organic acid salt, and water based on a total weight of the etchant composition.
    Type: Grant
    Filed: January 25, 2016
    Date of Patent: November 5, 2019
    Assignees: Samsung Display Co., Ltd., Dongwoo Fine-Chem Co., Ltd.
    Inventors: Soomin An, Youngjun Kim, Hongsick Park, Inseol Kuk, Youngchul Park, Inho Yu, Seungsoo Lee, Jongmun Lee, Daesung Lim
  • Patent number: 10457866
    Abstract: What is disclosed is a dry etching gas containing 1,3,3,3-tetrafluoropropene, wherein 1,3,3,3-tetrafluoropropene has purity of 99.5 mass % or more, and a total of concentration of each mixed metal component of Fe, Ni, Cr, Al, and Mo is 500 mass ppb or less. Furthermore, regarding to the dry etching gas, it is preferable that a content of nitrogen is 0.5 volume % or less, and that a content of water is 0.05 mass % or less. In a dry etching with a plasma gas obtained by making a dry etching gas into plasma, the dry etching gas of the present invention can improve etching selectivity of silicon-based material with respect to a mask.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: October 29, 2019
    Assignee: Central Glass Company, Limited
    Inventors: Yosuke Nakamura, Masaki Fujiwara, Hiroyuki Oomori, Akifumi Yao
  • Patent number: 10453684
    Abstract: Methods for patterning a film stack are provided. In one embodiment, a method for patterning a film stack disposed on a substrate includes performing a first etching process to etch a film stack disposed on a substrate, wherein the film stack includes a patterned photoresist layer disposed on an upper layer on a lower layer disposed on the substrate, wherein the patterned photoresist layer comprises openings defined between features and the features have a first pitch, wherein the first etching process removes between about 40 percent and about 95 percent of the lower layer exposed by the patterned photoresist layer from the film stack, performing a second etching process on the film stack, and upon completion of the second etching process, transferring the features into the upper or lower layer in the film stack having a second pitch, wherein the second pitch is shorter than the first pitch.
    Type: Grant
    Filed: May 9, 2018
    Date of Patent: October 22, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Ying Zhang, Lin Zhou
  • Patent number: 10435587
    Abstract: A polishing composition includes abrasive particles, a pyrrolidone containing a hydrophilic group, a dispersing agent, a first dishing inhibitor including polyacrylic acid, and a second dishing inhibitor including a non-ionic polymer.
    Type: Grant
    Filed: July 20, 2016
    Date of Patent: October 8, 2019
    Assignees: Samsung Electronics Co., Ltd., K.C. Tech Co., Ltd.
    Inventors: Seung-Ho Park, Ki-Hwa Jung, Sang-Kyun Kim, Jun-Ha Hwang, Chang-Gil Kwon, Seung-Yeop Baek, Jae-Woo Lee, Ji-Sung Lee, Jae-Kwang Choi, Jin-Myung Hwang
  • Patent number: 10427411
    Abstract: An ink-jet printing apparatus includes a print head having a first discharge port and a second discharge port, a cap, a suction unit connected to the cap for sucking ink from the print head, and a determining unit which determines one to be performed by the print head between a first printing operation using the first and second discharge ports and a second printing operation using the first discharge port only, wherein before the first printing operation, the suction unit is controlled to perform first and second suction operations sucking at different strengths. A storing unit further provided therein stores history information indicating the past performance of that the first printing operation. Before the second printing operation, the suction unit is controlled to perform the first suction operation only and, based on the history information after the printing operation, perform the second suction operation.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: October 1, 2019
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kei Kosaka, Tomoyuki Tenkawa, Monta Matsui, Satoko Yaita
  • Patent number: 10421890
    Abstract: Composite particles with lower mean particle size and smaller size distribution are obtained through refining treatments. The refined composite particles, such as ceria coated silica particles are used in Chemical Mechanical Planarization (CMP) compositions to offer higher removal rate; very low within wafer (WWNU) for removal rate, low dishing and low defects for polishing oxide films.
    Type: Grant
    Filed: March 17, 2017
    Date of Patent: September 24, 2019
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Hongjun Zhou, John Edward Quincy Hughes, Krishna P. Murella, Reinaldo Mario Machado, Mark Leonard O'Neill, Dnyanesh Chandrakant Tamboli
  • Patent number: 10421883
    Abstract: An abrasive particle-dispersion layer composite and a polishing slurry composition including the abrasive particle-dispersion layer composite are provided. The abrasive particle-dispersion layer composite includes abrasive particles, a first dispersant that is at least one cationic compound among an amino acid, an organic acid, polyalkylene glycol and a high-molecular polysaccharide coupled to a glucosamine compound, and a second dispersant that is a cationic polymer including at least two ionized cations in a molecular formula.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: September 24, 2019
    Assignee: KCTECH CO., LTD.
    Inventors: Jang Kuk Kwon, Sung Pyo Lee, Chang Gil Kwon, Jun Ha Hwang
  • Patent number: 10403492
    Abstract: Processes for surface treatment of a workpiece are provided. In one example implementation, organic radicals (e.g., methyl CH3 radicals) can be generated by exciting and/or dissociating hydrogen and/or inert gas (e.g., Ar, He, etc) molecules in a remote plasma source and a subsequent reaction with organic molecule (alkanes and alkenes). The organic radicals (e.g., methyl CH3 radicals) can be exposed to the silicon and/or silicon germanium surfaces. After exposure to the organic radicals, the silicon and/or silicon germanium surfaces can be stable in air for a time period (e.g., days) with reduced surface oxidation such that the silicon and/or silicon germanium surfaces can be effectively protected from oxidation. As such, native surface oxide removal process before subsequent process steps can be eliminated.
    Type: Grant
    Filed: December 11, 2018
    Date of Patent: September 3, 2019
    Assignee: Mattson Technology, Inc.
    Inventors: Michael X. Yang, Hua Chung, Xinliang Lu, Haochen Li, Ting Xie, Qi Zhang
  • Patent number: 10364373
    Abstract: CMP compositions providing stable and robust polishing performance at elevated pad or wafer surface temperatures are disclosed, as well as methods for use thereof. The compositions of the disclosure include reaction rate optimizing (RRO) compounds that optimize various chemical reactions occurring in the slurry chemistry at elevated polishing temperatures on the wafer surface, such that removal rate variation within an individual wafer is <10%.
    Type: Grant
    Filed: October 6, 2017
    Date of Patent: July 30, 2019
    Assignee: FUJIFILM ELECTRONIC MATERIALS U.S.A., INC.
    Inventor: Deepak Mahulikar
  • Patent number: 10351809
    Abstract: A cleaning composition and process for cleaning post-chemical mechanical polishing (CMP) residue and contaminants from a microelectronic device having said residue and contaminants thereon. The cleaning compositions are substantially devoid of alkali hydroxides, alkaline earth metal hydroxides, and tetramethylammonium hydroxide. The composition achieves highly efficacious cleaning of the post-CMP residue and contaminant material from the surface of the microelectronic device without compromising the low-k dielectric material or the copper interconnect material.
    Type: Grant
    Filed: January 5, 2016
    Date of Patent: July 16, 2019
    Assignee: Entegris, Inc.
    Inventors: Elizabeth Thomas, Donald Frye, Jun Liu, Michael White, Danela White, Chao-Yu Wang
  • Patent number: 10344183
    Abstract: The present invention relates to a suspension of cerium oxide particles in a liquid phase, in which said particles comprise secondary particles comprising primary particles, and a process for preparing said liquid suspension in which the cerium IV/total cerium molar ratio before precipitation is comprised between 1/10000 and 1/500000 and that the thermal treatment is being carried out under an inert atmosphere.
    Type: Grant
    Filed: December 16, 2014
    Date of Patent: July 9, 2019
    Assignee: Rhodia Operations
    Inventors: Eisaku Suda, Manabu Yuasa, Takao Sekimoto
  • Patent number: 10344184
    Abstract: Proposed is a polishing composition including hydroxyethyl cellulose, water and abrasive grains, wherein the hydroxyethyl cellulose has a molecular weight of 500,000 or more and 1,500,000 or less, and the proportion of the hydroxyethyl cellulose adsorbed to the abrasive grains is 30% or more and 90% or less.
    Type: Grant
    Filed: March 30, 2015
    Date of Patent: July 9, 2019
    Assignee: NITTA HAAS INCORPORATED
    Inventors: Masashi Teramoto, Tatsuya Nakauchi, Noriaki Sugita, Shinichi Haba, Akiko Miyamoto
  • Patent number: 10150890
    Abstract: Disclosed herein is a CMP slurry composition for polishing copper. The CMP slurry composition includes: polishing particles; and deionized water, wherein the polishing particles include inorganic particles and organic particles, and both the inorganic particles and the organic particles have a positive zeta potential. A polishing method comprising polishing a copper wire using the CMP slurry composition also be provided.
    Type: Grant
    Filed: December 7, 2015
    Date of Patent: December 11, 2018
    Assignee: SAMSUNG SDI CO., LTD.
    Inventors: Jeong Hwan Jeong, Young Chul Jung, Dong Hun Kang, Tae Wan Kim, Jong Il Noh, Chang Ki Hong
  • Patent number: 10151035
    Abstract: Walls of through-holes and vias of substrates with dielectric material are electroless plated with copper using tin-free ionic silver catalysts. Conductive polymers are first formed on the substrates by treating the substrates with a permanganate solution containing complexing anions followed by applying monomers, oligomers or conductive polymers to the substrate to form a conductive polymer coating on the dielectric of the substrate as well as on the walls of through-holes and vias of the substrate. A tin-free ionic silver catalyst is then applied to the treated substrate. Optionally, the tin-free ionic silver catalyst can include a ligand agent to form a coordination entity with the silver ions of the tin-free catalyst. The silver ions of the tin-free catalyst are reduced by the conductive polymer and then an electroless metal copper bath is applied to the treated substrate to copper plate the dielectric and walls of the through-holes and vias of the substrate.
    Type: Grant
    Filed: May 26, 2016
    Date of Patent: December 11, 2018
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventor: Benjamin Naab
  • Patent number: 10131819
    Abstract: A polishing liquid comprising abrasive grains, an additive, and water, wherein the abrasive grains include a hydroxide of a tetravalent metal element, produce absorbance of 1.00 or more for light having a wavelength of 400 nm in a first aqueous dispersion having a content of the abrasive grains adjusted to 1.0 mass %, and produce light transmittance of 50%/cm or more for light having a wavelength of 500 nm in the first aqueous dispersion, and a NO3? concentration of a second aqueous dispersion obtained by retaining the first aqueous dispersion at 60° C. for 72 hours is 200 ppm or less.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: November 20, 2018
    Assignee: HITACHI CHEMICAL COMPANY, LTD
    Inventor: Tomohiro Iwano
  • Patent number: 10109493
    Abstract: Chemical Mechanical Planarization (CMP) polishing compositions comprising composite particles, such as ceria coated silica particles, offer low dishing, low defects, and high removal rate for polishing oxide films. Chemical Mechanical Planarization (CMP) polishing compositions have shown excellent performance using soft polishing pad.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: October 23, 2018
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Hongjun Zhou, Jo-Ann Theresa Schwartz, Malcolm Grief, Xiaobo Shi, Krishna P. Murella, Steven Charles Winchester, John Edward Quincy Hughes, Mark Leonard O'Neill, Andrew J. Dodd, Dnyanesh Chandrakant Tamboli, Reinaldo Mario Machado
  • Patent number: 10100225
    Abstract: A CMP slurry composition for polishing a metal wire and a polishing method, the CMP slurry composition including polishing particles; an oxidant; a complexing agent; a corrosion inhibitor; and deionized water, wherein the corrosion inhibitor includes an inorganic nitrite or ammonium nitrate.
    Type: Grant
    Filed: December 7, 2016
    Date of Patent: October 16, 2018
    Assignee: SAMSUNG SDI CO., LTD.
    Inventors: So Hyung Lee, Keun Bong Do, Dong Jin Kim, Kang Su An, Young Chul Jung
  • Patent number: 10077380
    Abstract: Proposed is a polishing composition including hydroxyethyl cellulose, water and abrasive grains, wherein the hydroxyethyl cellulose has a molecular weight of 500,000 or more and 1,500,000 or less, and the mass ratio of the hydroxyethyl cellulose to the abrasive grains is 0.0075 or more and 0.025 or less.
    Type: Grant
    Filed: March 30, 2015
    Date of Patent: September 18, 2018
    Assignee: NITTA HAAS INCORPORATED
    Inventors: Masashi Teramoto, Tatsuya Nakauchi, Noriaki Sugita, Shinichi Haba, Akiko Miyamoto
  • Patent number: 9994735
    Abstract: A slurry composition for polishing tungsten is provided. The slurry composition for polishing tungsten may include a water-soluble polymer, abrasive particles and an etching adjuster.
    Type: Grant
    Filed: June 22, 2016
    Date of Patent: June 12, 2018
    Assignee: KCTECH CO., LTD.
    Inventors: Jin Sook Hwang, Hyun Goo Kong, Han Teo Park
  • Patent number: 9957469
    Abstract: There are provided metal corrosion inhibition cleaning compositions, methods and system for copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta), cobalt (Co), and aluminum (Al). The metal corrosion inhibition cleaning compositions provide corrosion inhibition effects by use a combination of two chemicals—at least one multi-functional amine that has more than one amino groups; and at least one multi-functional acid that has more than one carboxylate groups. The metal corrosion inhibition cleaning compositions are effective for cleaning the residues deriving from high density plasma etching followed by ashing with oxygen containing plasmas; and slurry particles and residues remaining after chemical mechanical polishing (CMP).
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: May 1, 2018
    Assignee: VERSUM MATERIALS US, LLC
    Inventors: Wen Dar Liu, Seiji Inaoka, Yi-Chia Lee, Agnes Derecskei-Kovacs
  • Patent number: 9909032
    Abstract: The invention provides a chemical-mechanical polishing composition containing aluminate-modified silica particles, a polyacrylamide, a heterocyclic film-forming agent, and water. The invention also provides a method of chemically-mechanically polishing a substrate, especially a nickel-phosphorous substrate, by contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: January 15, 2014
    Date of Patent: March 6, 2018
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Michael White
  • Patent number: 9909033
    Abstract: The invention relates to a suspension of cerium oxide particles, of which the particles (secondary particles) have an average size of at most 200 nm, these secondary particles consisting of primary particles whose average size measured by TEM is of at most 150 nm with a standard deviation of at most 30% of the value of said average size, and for which the ratio of the average size measured by TEM to the average size measured by BET is at least 1.5. This suspension is prepared from a solution of a cerium III salt, comprising a colloidal dispersion of cerium IV, which is brought into contact, in the presence of nitrate ions and under an inert atmosphere, with a base; the medium obtained is subjected to a thermal treatment under an inert atmosphere and then acidified and washed. The suspension can be used for polishing.
    Type: Grant
    Filed: October 3, 2014
    Date of Patent: March 6, 2018
    Assignee: Rhodia Operations
    Inventors: Guillaume Criniere, Laurent Thiers
  • Patent number: 9892932
    Abstract: Replacement chemistries for the cC4F8 passivation gas in the Bosch etch process and processes for using the same are disclosed. These chemistries have the formula CxHyFz, with 1 ?x<7, 1?y?13, and 1?z?13. The replacement chemistries may reduce RIE lag associated with deep silicon aperture etching.
    Type: Grant
    Filed: June 17, 2015
    Date of Patent: February 13, 2018
    Assignees: L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude, American Air Liquide, Inc., Air Liquide Electronics U.S. LP
    Inventors: Peng Shen, Christian Dussarrat, Curtis Anderson, Rahul Gupta, Vincent M. Omarjee, Nathan Stafford
  • Patent number: 9852899
    Abstract: Some embodiments are directed to a wafer polishing tool. The wafer polishing tool includes a first polisher, a second polisher downstream of the first polisher, a third polisher downstream of the second polisher, and a fourth polisher downstream of the third polisher. The first polisher receives a wafer having a front side and a back side with integrated circuit component devices disposed on the front side of the wafer, and polishes a center region on the back side of the wafer. The second polisher receives the wafer via transporting equipment and buffs the center region of the back side of the wafer. The third polisher receives the wafer via the transporting equipment and polishes a back side edge region of the wafer. The fourth polisher receives the wafer via the transporting equipment and buffs the back side edge region of the wafer.
    Type: Grant
    Filed: January 17, 2017
    Date of Patent: December 26, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shen-Nan Lee, Teng-Chun Tsai, Hsin-Hsien Lu, Chang-Sheng Lin, Kuo-Cheng Lien, Kuo-Yin Lin, Wen-Kuei Liu, Yu-Wei Chou
  • Patent number: 9850402
    Abstract: The present invention provides chemical mechanical polishing compositions and methods for polishing a substrate comprising silicon dioxide and silicon nitride, which provide selective removal of SiN relative to silicon oxide (e.g., PETEOS) on patterned wafers. In one embodiment, a CMP method comprises abrading a surface of a substrate comprising SiN and silicon oxide with a CMP composition to remove at least some SiN therefrom. The CMP composition comprises, consists essentially of, or consists of a particulate abrasive (e.g., ceria) suspended in an aqueous carrier and containing a cationic polymer bearing pendant quaternized nitrogen-heteroaromatic moieties, wherein the composition has a pH of greater than about 3.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: December 26, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Dmitry Dinega, Sairam Shekhar, Renhe Jia, Daniel Mateja
  • Patent number: 9834703
    Abstract: A polishing composition of the present invention is to be used for polishing an object including a portion containing a group III-V compound material. The polishing composition contains abrasive grains, an oxidizing agent, and a water-soluble polymer. When the polishing composition is left to stand for one day in an environment with a temperature of 25° C., the water-soluble polymer may be adsorbed on the abrasive grains at 5,000 or more molecules per 1 ?m2 of the surface area of the abrasive grains. Alternatively, the water-soluble polymer may be a compound that reduces the water contact angle of the portion containing a group III-V compound material of the object after being polished with the polishing composition.
    Type: Grant
    Filed: November 21, 2012
    Date of Patent: December 5, 2017
    Assignee: FUJIMI INCORPORATED
    Inventors: Shuugo Yokota, Yasuyuki Yamato, Satoru Yarita, Tomohiko Akatsuka
  • Patent number: 9803106
    Abstract: Methods for fabricating a chemical-mechanical polishing composition include growing colloidal silica abrasive particles in a liquid including an aminosilane compound such that the aminosilane compound becomes incorporated in the abrasive particles. A dispersion including such colloidal silica abrasive particles may be further processed to obtain a chemical-mechanical polishing composition including colloidal silica particles having the aminosilane compound incorporated therein.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: October 31, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Jeffrey Dysard, Ernest Shen, Mary Cavanaugh, Daniel Clingerman
  • Patent number: 9790600
    Abstract: Object is to provide an etching solution which generates less foam and can etch copper or copper alloy at high selectivity when used in a step of etching copper or 5 copper alloy in an electronic substrate having both of copper or copper alloy and nickel. The etching solution to be used in a step of selectively etching copper or copper alloy in an electronic substrate having both of copper or copper alloy and nickel has, as essential components thereof, (A) a linear alkanolamine, (B) a chelating agent having an acid group in the molecule thereof, and (C) hydrogen peroxide.
    Type: Grant
    Filed: November 2, 2015
    Date of Patent: October 17, 2017
    Assignee: ENTEGRIS, INC.
    Inventors: Tsutomu Kojima, Yukichi Koji
  • Patent number: 9771496
    Abstract: Described are chemical-mechanical polishing compositions (e.g., slurries) and methods of using the slurries for chemical-mechanical polishing (or planarizing) a surface of a substrate that contains tungsten, the compositions containing cationic surfactant and cyclodextrin.
    Type: Grant
    Filed: October 28, 2015
    Date of Patent: September 26, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Kevin Dockery, Helin Huang, Lin Fu, Tina Li
  • Patent number: 9706634
    Abstract: An apparatus to treat a substrate. The apparatus may include a reactive gas source having a reactive gas outlet disposed in a process chamber, the reactive gas outlet to direct a first reactive gas to the substrate; a plasma chamber coupled to the process chamber and including an extraction plate having an extraction aperture extending along a first direction, disposed within the process chamber and movable along a second direction perpendicular to the first direction between a first position facing the reactive gas source and a second position facing the extraction aperture; and a gas flow restrictor disposed between the reactive gas outlet and the extraction aperture, the gas flow restrictor defining a differential pumping channel between at least the plasma chamber and substrate stage.
    Type: Grant
    Filed: December 16, 2015
    Date of Patent: July 11, 2017
    Assignee: Varian Semiconductor Equipment Associates, Inc
    Inventors: Shurong Liang, Costel Biloiu, Glen F. R. Gilchrist, Vikram Singh, Christopher Campbell, Richard Hertel, Alexander Kontos, Piero Sferlazzo, Tsung-Liang Chen
  • Patent number: 9691625
    Abstract: A substrate is disposed on a substrate holder within a process module. The substrate includes a mask material overlying a target material with at least one portion of the target material exposed through an opening in the mask material. A bi-modal process gas composition is supplied to a plasma generation region overlying the substrate. For a first period of time, a first radiofrequency power is applied to the bi-modal process gas composition to generate a plasma to cause etching-dominant effects on the substrate. For a second period of time, after completion of the first period of time, a second radiofrequency power is applied to the bi-modal process gas composition to generate the plasma to cause deposition-dominant effects on the substrate. The first and second radiofrequency powers are applied in an alternating and successive manner for an overall period of time to remove a required amount of exposed target material.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: June 27, 2017
    Assignee: Lam Research Corporation
    Inventors: Zhongkui Tan, Qian Fu, Ying Wu, Qing Xu
  • Patent number: 9673176
    Abstract: The present invention provides a stabilized fine textured metal microstructure that constitutes a durable activated surface usable for bonding a 3D stacked chip. A fine-grain layer that resists self anneal enables metal to metal bonding at moderate time and temperature and wider process flexibility.
    Type: Grant
    Filed: December 3, 2015
    Date of Patent: June 6, 2017
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Mukta G. Farooq, John A. Fitzsimmons
  • Patent number: 9666563
    Abstract: The present invention provides a stabilized fine textured metal microstructure that constitutes a durable activated surface usable for bonding a 3D stacked chip. A fine-grain layer that resists self anneal enables metal to metal bonding at moderate time and temperature and wider process flexibility.
    Type: Grant
    Filed: December 3, 2015
    Date of Patent: May 30, 2017
    Assignee: International Business Machines Corporation
    Inventors: Tien-Jen Cheng, Mukta G. Farooq, John A. Fitzsimmons
  • Patent number: 9644274
    Abstract: The present invention relates to an etching solution for copper or a compound comprised mainly of copper, wherein the etching solution contains (A) a maleic acid ion source and (B) a copper ion source, and an etching method using the etching solution.
    Type: Grant
    Filed: June 28, 2012
    Date of Patent: May 9, 2017
    Assignee: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Satoshi Tamai, Kunio Yube, Satoshi Okabe
  • Patent number: 9617450
    Abstract: The inventive method comprises chemically-mechanically polishing a substrate with an inventive polishing composition comprising a liquid carrier and abrasive particles that have been treated with a compound.
    Type: Grant
    Filed: March 13, 2015
    Date of Patent: April 11, 2017
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Shoutian Li, William Ward, Pankaj Singh, Jeffrey Dysard
  • Patent number: 9593261
    Abstract: The present invention relates to a polishing agent including: cerium oxide particles; a water-soluble organic polymer having at least one selected from a carboxylic acid group and a salt of carboxylic acid; a water-soluble polyamide having at least one selected from a tertiary amino group and an oxyalkylene chain in a molecule thereof; and water, in which the polishing agent has a pH of 7 or less.
    Type: Grant
    Filed: February 3, 2016
    Date of Patent: March 14, 2017
    Assignee: ASAHI GLASS COMPANY, LIMITED
    Inventor: Yuiko Yoshida
  • Patent number: 9595716
    Abstract: An electrode for an energy store, in particular for a lithium-ion battery. To achieve a particularly good and long-term stable capacitance, the electrode includes an active material, optionally a binder, optionally a conductive additive, and a sorption agent; intermediate stages of the active material arising during a charging and/or discharging procedure of the energy store may be immobilized by the sorption agent. Furthermore, also described is a method for manufacturing an electrode for an energy store, and the use of a sorption agent for manufacturing an electrode for an electrochemical energy store.
    Type: Grant
    Filed: September 6, 2013
    Date of Patent: March 14, 2017
    Assignee: ROBERT BOSCH GMBH
    Inventors: Marcus Wegner, Jens Grimminger, Martin Tenzer, Jean Fanous