Etching Or Brightening Compositions Patents (Class 252/79.1)
  • Patent number: 9551075
    Abstract: A CMP method uses a slurry including a first metal oxide or semiconductor oxide particles (first oxide particles) in water. At least one particle feature is selected from (i) first oxide particles having a polydispersity >30%, (ii) a coating on first oxide particles including Group I or Group II ions, transition metal oxide, or organic material, (iii) first oxide particles mixed with fumed oxide particles, (iv) first oxide particles with average primary size >50 nm mixed with fumed oxide particles having average primary size <25 nm, and (v) first oxide particles with a per surface area per unit mass <100 m2/gm mixed with another oxide particle type having an average area per unit mass >150 m2/gm. A substrate having an alumina surface is placed into a CMP apparatus, and CMP is performed with a rotating polishing pad and the slurry to polish the alumina surface.
    Type: Grant
    Filed: August 4, 2014
    Date of Patent: January 24, 2017
    Assignees: Sinmat, Inc., University of Florida Research Foundation, Inc.
    Inventors: Rajiv K. Singh, Kannan Balasundaram, Arul Chakkaravarthi Arjunan, Deepika Singh, Wei Bai
  • Patent number: 9546321
    Abstract: Compositions useful for the selective removal of titanium nitride and/or photoresist etch residue materials relative to metal conducting, e.g., tungsten, and insulating materials from a microelectronic device having same thereon. The removal compositions contain at least one oxidant and one etchant, may contain various corrosion inhibitors to ensure selectivity.
    Type: Grant
    Filed: December 27, 2012
    Date of Patent: January 17, 2017
    Assignee: ADVANCED TECHNOLOGY MATERIALS, INC.
    Inventors: Jeffrey A. Barnes, Emanuel I. Cooper, Li-Min Chen, Steven Lippy, Rekha Rajaram, Sheng-Hung Tu
  • Patent number: 9528031
    Abstract: Slurry composition and a method of substrate polishing used in chemical mechanical polishing (CMP). The present invention concerns a slurry composition containing a polishing agent and a water soluble polymer. The slurry composition contains a water soluble polymer that has a solubility parameter in the range of 9.0 to 14.0 and that may contain hetero atoms at a level sufficient to lower the polishing rate near the edges of the polished substrate defined as the region within 1 mm of the outer edge of the polished substrate to a level below the mean polishing rate of the polished substrate. The water soluble polymer may have a mean molecular weight in the range of 200 to about 3,000,000, and the mean molecular weight may be in the range of 200 to 110,000 if hetero atoms are present in the main-chain structure and the SP value is under 9.5.
    Type: Grant
    Filed: April 25, 2014
    Date of Patent: December 27, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Hiroshi Kitamura, Tsuyoshi Masuda, Yoshiyuki Matsumura
  • Patent number: 9505951
    Abstract: [Problem] Provided is a polishing composition that can sufficiently maintain a high polishing rate for a barrier layer and an insulating film and suppress the occurrence of a surface defect such as erosion or fang. [Solution] Provided is a polishing composition which is used in the application to polish a polishing object having a barrier layer, a metal wiring layer and an insulating film, the polishing composition including abrasive grains, an oxidant, a metal corrosion inhibitor, a pH adjusting agent and water, in which an aspect ratio of abrasive grains is 1.22 or less and a ratio D90/D10 of a diameter D90 of particles when a cumulative particle weight from the fine particle side reaches 90% of the total particle weight to a diameter D10 of particles when the cumulative particle weight from the fine particle side reaches 10% of the total particle weight of the entire particles is 1.5 or more in a particle size distribution of the abrasive grains determined by a laser diffraction scattering method.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: November 29, 2016
    Assignee: FUJIMI INCORPORATED
    Inventors: Takahiro Umeda, Shogo Onishi, Takeshi Yoshikawa, Yoshihiro Kachi
  • Patent number: 9499721
    Abstract: A chemical-mechanical polishing composition includes colloidal silica abrasive particles dispersed in a liquid carrier. The colloidal silica abrasive particles include a nitrogen-containing or phosphorus-containing compound incorporated therein such that the particles have a positive charge. The composition may be used to polish a substrate including a silicon oxygen material such as TEOS.
    Type: Grant
    Filed: June 25, 2015
    Date of Patent: November 22, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Jeffrey Dysard, Ernest Shen, Mary Cavanaugh
  • Patent number: 9496146
    Abstract: Method for manufacturing semiconductor wafers having at least one through-base wafer via, the said method comprising the steps of (1) providing a semiconductor wafer having at least one electrically conductive via comprising an electrically conductive metal and extending from the front side of the semiconductor wafer at least partially through the semiconductor wafer; (2) affixing the frontside of the semiconductor wafer to a carrier; (3) contacting the backside of the semiconductor wafer with a polishing pad and an aqueous chemical mechanical polishing composition having a pH of equal to or greater than 9 and comprising (A) abrasive particles; (B) an oxidizing agent containing at least one peroxide group; and (C) an additive acting both as metal chelating agent and metal corrosion inhibitor; (4) chemically mechanically polishing the backside of the semiconductor wafer until at least one electrically conductive via is exposed. Preferably, the additive (C) is 1,2,3-triazole.
    Type: Grant
    Filed: February 28, 2012
    Date of Patent: November 15, 2016
    Assignee: BASF SE
    Inventors: Yuzhuo Li, Changxue Wang, Daniel Kwo-Hung Shen
  • Patent number: 9493678
    Abstract: A polishing composition comprising abrasive particles, a compound having hexavalent molybdenum or pentavalent vanadium, an anionic additive, a halogen oxides compound or salts thereof, and a carrier solvent is provided herein. The polishing composition is suitable for chemical mechanical polishing process of SiGe, Si and SiO2 substrates. The compound having hexavalent molybdenum or pentavalent can effectively raise the removal rate for SiGe and Si substrates, and increase the polishing selectivity of SiGe and Si relative to SiO2, simultaneously.
    Type: Grant
    Filed: January 15, 2015
    Date of Patent: November 15, 2016
    Assignee: UWiZ Technology Co., Ltd.
    Inventors: Yun Lung Ho, Chun Chieh Lee, Song Yuan Chang, Ming Hui Lu, Ming Che Ho
  • Patent number: 9469787
    Abstract: A chemical mechanical polishing (CMP) composition for planarizing a nickel phosphorus (NiP) substrate comprises a suspension of colloidal silica particles and fused silica particles in an acidic aqueous carrier containing hydrogen peroxide, in which the concentration of the fused silica particles is less than or equal to the concentration of the colloidal silica particles. In some embodiments, the CMP composition includes a primary complexing agent, a secondary complexing agent, and a metal ion such as ferric ion, which is capable of reversible oxidation and reduction in the presence of hydrogen peroxide and NiP.
    Type: Grant
    Filed: October 13, 2015
    Date of Patent: October 18, 2016
    Assignee: Cabot Microelectronics Corporation
    Inventors: Ke Zhang, Selvaraj Palanisamy Chinnathambi
  • Patent number: 9443739
    Abstract: A process for the manufacture of semiconductor devices comprising the chemical mechanical polishing of elemental germanium and/or Si1-xGex material with 0.
    Type: Grant
    Filed: July 30, 2012
    Date of Patent: September 13, 2016
    Assignee: BASF SE
    Inventors: Bastian Marten Noller, Bettina Drescher, Christophe Gillot, Yuzhuo Li, Ning Gao
  • Patent number: 9437449
    Abstract: An integrated circuit may be formed by forming a sacrificial silicon nitride feature. At least a portion of the sacrificial silicon nitride feature may be removed by placing the integrated circuit in a two-step oxidized layer etch tool and removing a surface layer of oxidized silicon from the sacrificial silicon nitride feature using a two-step etch process. The two-step etch process exposes the integrated circuits to reactants from a plasma source at a temperature less than 40° C. and subsequently heating the integrated circuit to 80° C. to 120° C. while in the two-step oxidized layer etch tool. While the integrated circuit is in the two-step oxidized layer etch tool, without exposing the integrated circuit to an ambient containing more than 1 torr of oxygen, at least a portion of the sacrificial silicon nitride feature is removed using fluorine-containing etch reagents, substantially free of ammonia.
    Type: Grant
    Filed: December 27, 2013
    Date of Patent: September 6, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Tom Lii, David Farber
  • Patent number: 9368363
    Abstract: The present invention is a plasma etching gas comprising a fluorocarbon having 3 or 4 carbon atoms, the fluorocarbon including at least one unsaturated bond and/or ether linkage, and including a bromine atom, and a plasma etching method comprising subjecting a silicon oxide film on a substrate to plasma etching through a mask using a process gas, the process gas being the plasma etching gas. This plasma etching gas exhibits excellent etching selectivity, and has a short atmospheric lifetime and a low environmental impact. This plasma etching method makes it possible to selectively subject a silicon oxide film to plasma etching at a high etching rate without causing an increase in surface roughness.
    Type: Grant
    Filed: March 14, 2012
    Date of Patent: June 14, 2016
    Assignee: ZEON CORPORATION
    Inventor: Takefumi Suzuki
  • Patent number: 9368647
    Abstract: Etching compositions are provided. The etching composition includes a phosphoric acid, ammonium ions and a silicon compound material. The silicon compound material includes a silicon atom, at least one selected from the group of a nitrogen atom, a phosphorus atom and a sulfur atom combined with the silicon atom, and at least two oxygen atoms combined with the silicon atom. Methods utilizing the etching compositions are also provided.
    Type: Grant
    Filed: August 12, 2015
    Date of Patent: June 14, 2016
    Assignees: Samsung Electronics Co., Ltd., Soulbrain Co., Ltd.
    Inventors: Young-Taek Hong, Jinuk Lee, Junghun Lim, Jaewan Park, Chanjin Jeong, Hoon Han, Seonghwan Park, Yanghwa Lee, Sang Won Bae, Daehong Eom, Byoungmoon Yoon, Jihoon Jeong, Kyunghyun Kim, Kyounghwan Kim, ChangSup Mun, Se-Ho Cha, Yongsun Ko
  • Patent number: 9318346
    Abstract: The CMP polishing liquid containing a medium and silica particles as an abrasive grain dispersed into the medium. The silica particles have a silanol group density of 5.0/nm2 or less and the biaxial average primary particle diameter when arbitrary 20 silica particles are selected from an image obtained by scanning electron microscope observation is 25 to 55 nm. The association degree of the silica particles is 1.1 or more. The CMP polishing liquid has the high barrier film polishing speed, the favorable abrasive grain dispersion stability, and the high interlayer dielectric polishing speed. The CMP polishing liquid can provide a method of producing semiconductor substrates or the like, that have excellent microfabrication, thin film formation, dimension accuracy, electric property and high reliability with low cost.
    Type: Grant
    Filed: August 26, 2014
    Date of Patent: April 19, 2016
    Assignee: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Mamiko Kanamaru, Tomokazu Shimada, Takashi Shinoda
  • Patent number: 9224614
    Abstract: A method and associated composition for CMP processing of noble metal-containing substrates (such as ruthenium-containing substrates) afford both high removal rates of the noble metal and are tunable with respect to rate of noble metal removal in relation to removal of other films. Low levels of an oxidizing agent containing one or more peroxy-functional group(s) can be used along with a novel ligand to effectively polish noble metal substrates.
    Type: Grant
    Filed: November 3, 2014
    Date of Patent: December 29, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventor: Xiaobo Shi
  • Patent number: 9222018
    Abstract: Formulations for stripping titanium nitride (TiN or TiNxOy; x=0 to 1.3 and y=0 to 2) hard mask and removing titanium nitride etch residue are low pH (<4) comprise a weakly coordinating anion having negative charge highly dispersed throughout its structure, amine salt buffer, a non-oxidizing trace metal ion, a non-ambient trace oxidizer, and the remaining being solvent selected from the group consisting of water, sulfolane, dimethyl sulfide, lactic acid, glycol, and mixtures thereof. The formulations contain no hydrogen peroxide, and are exposed to air. Bifluoride, corrosion inhibitors, surfactants may be added to the formulations. Systems and processes use the formulations for stripping titanium nitride hard mask and removing titanium nitride etch residue.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: December 29, 2015
    Assignee: Air Products and Chemicals, Inc.
    Inventors: William Jack Casteel, Jr., Seiji Inaoka, Wen Dar Liu, Tianniu Chen
  • Patent number: 9200372
    Abstract: This disclosure relates to a passivation composition containing at least one sulfonic acid, at least one compound containing a nitrate or nitrosyl ion, and water. The passivation composition is substantially free of a halide ion.
    Type: Grant
    Filed: October 22, 2012
    Date of Patent: December 1, 2015
    Assignee: Fujifilm Electronic Materials U.S.A., Inc.
    Inventors: William A. Wojtczak, Bing Du, Tomonori Takahashi
  • Patent number: 9158204
    Abstract: A photolithographic rinse solution includes deionized water, and a surfactant, the surfactant including a cyclic amine group and at least one non-amine cyclic group joined to or fused with the cyclic amine group, wherein the cyclic amine group includes a ring having a carbon number of 4 to 6, and the non-amine cyclic group includes a ring having a carbon number of 5 to 8.
    Type: Grant
    Filed: August 8, 2013
    Date of Patent: October 13, 2015
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Chawon Koh, Su Min Kim, Hyunwoo Kim, Hyojin Yun
  • Patent number: 9097996
    Abstract: The invention relates to silicon dioxide powder which is present in the form of aggregated primary particles having an average diameter of at least 40 nm and a ratio of the BET surface area to the STSA surface area of at least 3.5. The invention also relates to a toner composition containing said silicon dioxide powder.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: August 4, 2015
    Assignee: Evonik Degussa GmbH
    Inventor: Andreas Hille
  • Patent number: 9068274
    Abstract: Methods to etch a workpiece are described. In one embodiment, a workpiece is disposed within an etchant solution having a composition comprising a dilute acid and a non-ionic surfactant. An electric field is generated within the etchant solution to cause an anisotropic etch pattern to form on a surface of the workpiece.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: June 30, 2015
    Assignee: WD Media, LLC
    Inventor: Norbert Staud
  • Patent number: 9057827
    Abstract: Provided are an optical transmission structure having a high degree of flexibility in the design of an optical waveguide and a method for manufacturing the optical transmission structure, and also an optical transmission module. An optical transmission structure includes a main substrate (30), a cladding member (40), and core members (50). The main substrate (30) has a through hole (30a) penetrating therethrough in a thickness direction thereof. The cladding member (40) is disposed inside the through hole (30a) and has a plurality of optical waveguide holes (40a) penetrating therethrough in a thickness direction thereof. The core members (50) are disposed inside the plurality of optical waveguide holes (40a), respectively, and have a refractive index larger than the cladding member (40).
    Type: Grant
    Filed: May 31, 2011
    Date of Patent: June 16, 2015
    Assignee: Kyocera Corporation
    Inventors: Maraki Maetani, Takahiro Matsubara, Yuji Masuda, Kaori Tanaka
  • Publication number: 20150147884
    Abstract: The present invention provides a slurry for chemical mechanical polishing, containing abrasive grain (a), compound (b) having an amino group having a pKa of more than 9, and not less than 3 hydroxyl groups, and water.
    Type: Application
    Filed: May 27, 2013
    Publication date: May 28, 2015
    Applicant: KURARAY CO., LTD.
    Inventors: Mitsuru Kato, Chihiro Okamoto, Shinya Kato
  • Patent number: 9039925
    Abstract: Provided is a polishing slurry composition, including a non-ionic surfactant represented by the following formula (1) R—(OCH2CH2)x—OH??formula (1) wherein x is an integer from 1 to 50, and R is selected from a group consisting of a C3-C50 alkyl group, a C6-C55 benzylalkyl group and a C6-C55 phenylalkyl group.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: May 26, 2015
    Assignee: UWIZ Technology Co., Ltd.
    Inventors: Wei-Jung Chen, Wen-Tsai Tsai, Ho-Ying Wu, Song-Yuan Chang, Ming-Hui Lu
  • Publication number: 20150139885
    Abstract: A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, and produces absorbance of 1.00 or more and less than 1.50 for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %.
    Type: Application
    Filed: March 26, 2013
    Publication date: May 21, 2015
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Publication number: 20150140904
    Abstract: A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, produces absorbance of 1.00 or more and less than 1.50 for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and produces a liquid phase having a content of a non-volatile component of 300 ppm or more when centrifuging an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass % for 50 minutes at a centrifugal acceleration of 1.59×105 G.
    Type: Application
    Filed: March 26, 2013
    Publication date: May 21, 2015
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Publication number: 20150129796
    Abstract: A method for manufacturing an abrasive grain, comprising a step of obtaining a particle including a hydroxide of a tetravalent metal element by mixing a metal salt solution comprising a salt of the tetravalent metal element with an alkali liquid, wherein a temperature of a mixed liquid of the metal salt solution and the alkali liquid is 30° C. or more.
    Type: Application
    Filed: March 26, 2013
    Publication date: May 14, 2015
    Applicant: Hitachi Chemical Company, Ltd.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Publication number: 20150132208
    Abstract: A polishing liquid comprising an abrasive grain, an additive, and water, wherein the abrasive grain includes a hydroxide of a tetravalent metal element, produces absorbance of 1.00 or more for light having a wavelength of 400 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and produces light transmittance of 50%/cm or more for light having a wavelength of 500 nm in an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass %, and a difference between a NO3? concentration of an aqueous dispersion having a content of the abrasive grain adjusted to 1.0 mass % and a NO3? concentration after retaining the aqueous dispersion at 60° C. for 72 hours is 200 ppm or less.
    Type: Application
    Filed: March 26, 2013
    Publication date: May 14, 2015
    Applicant: Hitachi Chemical Company, Ltd.
    Inventors: Tomohiro Iwano, Hisataka Minami, Toshiaki Akutsu, Koji Fujisaki
  • Publication number: 20150129795
    Abstract: The present disclosure relates to a chemical mechanical polishing (CMP) slurry composition that provides for a high metal to dielectric material selectivity along with a low rate of metal recess formation. In some embodiments, the disclosed slurry composition has an oxidant and an etching inhibitor. The oxidant has a compound with one or more oxygen molecules. The etching inhibitor has a nitrogen-oxide compound. The etching inhibitor reduces the rate of metal and dielectric material (e.g., oxide) removal, but does so in a manner that reduces the rate of dielectric material removal by a larger amount, so as to provide the slurry composition with a high metal (e.g., germanium) to dielectric material removal selectivity and with a low rate of metal recess formation.
    Type: Application
    Filed: November 13, 2013
    Publication date: May 14, 2015
    Inventors: Chia-Jung Hsu, Yun-Lung Ho, Neng-Kuo Chen, Wen-Feng Chueh, Sey-Ping Sun, Song-Yuan Chang
  • Publication number: 20150132955
    Abstract: A polishing composition of the present invention contains a water-soluble polymer and abrasive grains. The water-soluble polymer is an anionic compound having an acid dissociation constant pKa of 3 or less. Specific examples of such a compound include polyvinylsulfonic acid, polystyrenesulfonic acid, polyallylsulfonic acid, polyethyl acrylate sulfonic acid, polybutyl acrylate sulfonic acid, poly(2-acrylamide-2-methylpropanesulfonic acid), and polyisoprenesulfonic acid. The abrasive grains exhibit a negative zeta potential at a pH of 3.5 or less. Specific examples of such abrasive grains include colloidal silica.
    Type: Application
    Filed: April 4, 2013
    Publication date: May 14, 2015
    Applicant: FUJIMI INCORPORATED
    Inventors: Yasuyuki Yamato, Tomohiko Akatsuka
  • Publication number: 20150132958
    Abstract: The invention relates to a contact release capsule comprising a particle, a chemical payload, and a polymer coating, wherein the particle is impregnated with the chemical payload, and the chemical payload is held inside the particle by the polymer coating until the contact release capsule contacts a surface and a shearing force removes the polymer coating allowing the chemical payload to release outside the particle. The contact release capsule is useful in chemical mechanical planarization slurries. Particularly, the contact release capsule may comprise a glycine impregnated silica nanoparticle coated with a polymer, wherein the contact release capsule is dispersed in an aqueous solution and used in the copper chemical mechanical planarization process. Use of the contact release capsule in a slurry for copper chemical mechanical planarization may significantly improve planarization efficiency, decrease unwanted etching and corrosion, and improve dispersion stability.
    Type: Application
    Filed: January 20, 2015
    Publication date: May 14, 2015
    Inventor: Robin Ihnfeldt
  • Patent number: 9028708
    Abstract: An aqueous chemical mechanical polishing (CMP) agent (A) comprising solid particles (a1) containing (a11) a corrosion inhibitor for metals, and (a12) a solid material, the said solid particles (a1) being finely dispersed in the aqueous phase; and its use in a process for removing a bulk material layer from the surface of a substrate and planarizing the exposed surface by chemical mechanical polishing until all material residuals are removed from the exposed surface, wherein the CMP agent exhibits at the end of the chemical mechanical polishing, without the addition of supplementary materials, —the same or essentially the same static etch rate (SER) as at its start and a lower material removal rate (MRR) than at its start, —a lower SER than at its start and the same or essentially the same MRR as at its start or—a lower SER and a lower MRR than at its start; such that the CMP agent exhibits a soft landing behavior.
    Type: Grant
    Filed: November 25, 2010
    Date of Patent: May 12, 2015
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Yuzhuo Li, Mario Brands, Yongqing Lan, Kenneth Rushing, Karpagavalli Ramji
  • Patent number: 9028709
    Abstract: A surface treatment composition of the present invention contains a first surfactant, a second surfactant, a basic compound, and water. The surface treatment composition has a pH of 8 or more. The second surfactant has a weight-average molecular weight one-half or less that of the first surfactant. The sum of the content of the first surfactant and the content of the second surfactant is 0.00001 to 0.1% by mass.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: May 12, 2015
    Assignee: Fujimi Incorporated
    Inventors: Kohsuke Tsuchiya, Hitoshi Morinaga, Noboru Yasufuku, Shuhei Takahashi, Tomohiro Imao
  • Patent number: 9028747
    Abstract: Water treatment compositions are provided that are effective for mitigating corrosion or fouling of surfaces in contact with aqueous systems. The water treatment compositions can include one or more azole compounds, one or more transition metals, and one or more dispersants, in addition to various other additives. The water treatment compositions can exclude phosphorus and still be effective. Methods for mitigating corrosion or fouling of a surface in an aqueous system are also provided.
    Type: Grant
    Filed: December 28, 2012
    Date of Patent: May 12, 2015
    Assignee: Ecolab USA Inc.
    Inventor: Jasbir S. Gill
  • Publication number: 20150123027
    Abstract: An object is to provide a polishing liquid composition that can provide hydrophilicity to a wafer surface and effectively improve a haze in polishing of wafers for substrates in electronics industry. The present invention is a polishing liquid composition for wafers, comprising: water; silica particles; an alkaline compound; a polyvinyl alcohol; an anion-modified polyvinyl alcohol; and a surfactant, wherein the mass ratio of the anion-modified polyvinyl alcohol to the polyvinyl alcohol is 0.6 to 5.5. The anion-modified polyvinyl alcohol is preferably a polyvinyl alcohol modified with a carboxy group or a sulfonic acid group.
    Type: Application
    Filed: May 21, 2013
    Publication date: May 7, 2015
    Inventors: Hiroaki Sakaida, Eiichirou Ishimizu
  • Patent number: 9023228
    Abstract: A pickling solution for the surface pre-treatment of plastic surfaces in preparation for metallization, the solution comprising a source of Mn(VII) ions; and an inorganic acid; wherein the pickling solution is substantially free of chromium (VI) ions, alkali ions, and alkaline-earth ions.
    Type: Grant
    Filed: August 11, 2008
    Date of Patent: May 5, 2015
    Assignee: Enthone Inc.
    Inventors: Mark Peter Schildmann, Ulrich Prinz, Christoph Werner
  • Publication number: 20150114928
    Abstract: An abrasive composition for polishing substrates including a plurality of abrasive particles having a poly-dispersed particle size distribution with median particle size, by volume, being about 20 nanometers to about 100 nanometers; a span value, by volume, being greater than or equal to about 15 nanometers, wherein the fraction of particles greater than about 100 nanometers is less than or equal to about 20% by volume of the abrasive particles.
    Type: Application
    Filed: October 30, 2013
    Publication date: April 30, 2015
    Inventors: Jia-Ni Chu, James Neil Pryor
  • Publication number: 20150114929
    Abstract: The invention provides a polishing composition that contains (a) ?-alumina particles that have an average particle size of about 250 nm to about 300 nm, (b) a per-type oxidizing agent, (c) a complexing agent, wherein the complexing agent is an amino acid or an organic acid, and (d) water. The invention also provides a method of polishing a substrate, especially a nickel-phosphorous substrate, with the polishing composition.
    Type: Application
    Filed: October 16, 2014
    Publication date: April 30, 2015
    Inventors: Hon Wu LAU, Selvaraj Palanisamy Chinnathambi, Ke Zhang
  • Publication number: 20150115196
    Abstract: Disclosed is a microetching solution for copper, a replenishment solution therefor and a method for production of a wiring board. The microetching solution of the present invention consists of an aqueous solution containing a cupric ion, an organic acid, a halide ion, an amino group-containing compound having a molecular weight of 17 to 400 and a polymer. The polymer is a water-soluble polymer including a polyamine chain and/or a cationic group and having a weight average molecular weight of 1000 or more. When a concentration of the amino group-containing compound is A % by weight and a concentration of the polymer is B % by weight, a value of A/B of the microetching solution of the present invention is 50 to 6000. According to the present invention, an adhesion between copper and a resin or the like may be maintained even with a low etching amount.
    Type: Application
    Filed: March 4, 2013
    Publication date: April 30, 2015
    Applicant: MEC COMPANY LTD
    Inventors: Masayo Kurii, Kiyoto Tai, Mami Nakamura
  • Publication number: 20150118845
    Abstract: A chemical-mechanical polishing (“CMP”) composition (P) comprising (A) inorganic particles, organic particles, or a mixture or composite thereof, (B) at least one type of A/-heterocyclic compound as corrosion inhibitor, (C) at least one type of a further corrosion inhibitor selected from the group consisting of: (C1) an acetylene alcohol, and (C2) a salt or an adduct of (C2a) an amine, and (C2b) a carboxylic acid comprising an amide moiety, (D) at least one type of an oxidizing agent, (E) at least one type of a complexing agent, and (F) an aqueous medium.
    Type: Application
    Filed: March 19, 2012
    Publication date: April 30, 2015
    Applicant: BASF SE
    Inventor: Ning Gao
  • Patent number: 9017571
    Abstract: A dry etching agent according to the present invention preferably contains: (A) 1,3,3,3-tetrafluoropropene; (B) at least one kind of additive gas selected from the group consisting of H2, O2, O3, CO, CO2, COCl2, COF2, CF3OF, NO2, F2, NF3, Cl2, Br2, I2, CH4, C2H2, C2H4, C2H6, C3H4, C3H6, C3H8, HF, HI, HBr, HCl, NO, NH3 and YFn (where Y represents Cl, Br or I; and n represents an integer satisfying 1?n?7); and (C) an inert gas. This dry etching agent has less effect on the global environment and can obtain a significant improvement in process window and address processing requirements such as low side etching ratio and high aspect ratio even without any special substrate excitation operation.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: April 28, 2015
    Assignee: Central Glass Company, Limited
    Inventors: Tomonori Umezaki, Yasuo Hibino, Isamu Mori, Satoru Okamoto, Akiou Kikuchi
  • Patent number: 9017563
    Abstract: Provided is a plating method of a circuit substrate comprising a conductive pattern in which a metal layer containing at least silver and copper is exposed on an outer surface. The plating method comprises: step (A) of treating the circuit substrate with a first liquid agent containing an oxidizing agent; step (B) of treating the circuit substrate after the step (A) with a second liquid agent which dissolves copper oxide, and thereby removing copper oxide from the conductive pattern's surface; step (C) of treating the circuit substrate after the step (B) with a third liquid agent whose rate of dissolving silver oxide (I) at 25° C. is 1000 times or more faster than its rate of dissolving copper (0) at 25° C., and thereby removing silver oxide from the conductive pattern's surface; and step (D) of performing electroless plating on the conductive pattern of the circuit substrate after the step (C).
    Type: Grant
    Filed: June 20, 2012
    Date of Patent: April 28, 2015
    Assignee: Tokuyama Corporation
    Inventors: Emi Ushioda, Tetsuo Imai
  • Publication number: 20150111383
    Abstract: A polishing liquid composition for a silicon wafer, wherein the composition comprises silica particles (component A), at least one kind of nitrogen-containing basic compound (component B) selected from an amine compound and an ammonium compound, and a water-soluble macromolecular compound (component C) that contains 10 wt % or more of a constitutional unit I represented by a general formula (1) below and has a weight average molecular weight of 50,000 or more and 1,500,000 or less; and the pH at 25° C. is 8.0 to 12.0. In the general formula (1), R1 and R2 each independently represents a hydrogen, a C1 to C8 alkyl group, or a C1 to C2 hydroxyalkyl group, and R1 and R2 are never both hydrogens.
    Type: Application
    Filed: April 16, 2013
    Publication date: April 23, 2015
    Applicant: KAO CORPORATION
    Inventors: Joji Miura, Yoshiaki Matsui, Yuki Kato, Yuki Kotaka
  • Publication number: 20150111382
    Abstract: Provided is a polishing composition used for polishing a semiconductor substrate having a through-silicon via structure, comprising an oxidizing agent having a standard electrode potential of 350 mV or more and 740 mV or less, a silicon polishing accelerating agent, a through-silicon via material polishing speed increasing agent, a silicon contamination preventing agent, and water.
    Type: Application
    Filed: April 10, 2013
    Publication date: April 23, 2015
    Applicant: FUJIMI INCORPORATED
    Inventor: Toshio Shinoda
  • Publication number: 20150108473
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a first conductive film over a substrate; forming an insulating film over the first conductive film; forming an oxide semiconductor film over the insulating film to overlap with the first conductive film; forming a second conductive film including a metal film containing molybdenum as its main component and a metal film containing copper as its main component over the oxide semiconductor film; and etching the second conductive film by an etchant. At the time of etching the second conductive film by the etchant, the oxide semiconductor film is used as an etching stopper film. In addition, the etchant which can be used for a transistor including the oxide semiconductor film is provided.
    Type: Application
    Filed: October 20, 2014
    Publication date: April 23, 2015
    Inventors: Yasutaka NAKAZAWA, Shunsuke KOSHIOKA, Takayuki CHO, Takahiro SATO
  • Patent number: 9011712
    Abstract: Disclosed is a microetching solution, a replenishment solution added to said microetching solution and a method for production of a wiring board using said microetching solution. The microetching solution for copper consists of an aqueous solution containing a cupric ion, an organic acid, a halide ion, a polymer and a nonionic surfactant. The polymer is a water-soluble polymer including a polyamine chain and/or a cationic group and having a weight average molecular weight of 1000 or more. In the microetching solution of the present invention, a value of A/B is 2000 to 9000 and a value of A/D is 500 to 9000, where a concentration of the halide ion is A % by weight, a concentration of the polymer is B % by weight and a concentration of the nonionic surfactant is D % by weight. Using this microetching solution, adhesion to a resin or the like can be uniformly maintained even with a low etching amount.
    Type: Grant
    Filed: June 25, 2013
    Date of Patent: April 21, 2015
    Assignee: Mec Company Ltd.
    Inventors: Masayo Kurii, Kiyoto Tai, Mami Nakamura, Yuki Ogino
  • Patent number: 9012327
    Abstract: A low defect chemical mechanical polishing composition for polishing silicon oxide containing substrates is provided comprising, as initial components: water, a colloidal silica abrasive; and, an additive according to formula I.
    Type: Grant
    Filed: September 18, 2013
    Date of Patent: April 21, 2015
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Yi Guo
  • Publication number: 20150102012
    Abstract: The invention provides chemical-mechanical polishing compositions and methods of chemically-mechanically polishing a substrate with the chemical-mechanical polishing compositions. The polishing compositions comprise first abrasive particles, wherein the first abrasive particles are ceria particles, second abrasive particles, wherein the second abrasive particles are ceria particles, surface-modified silica particles, or organic particles, a pH-adjusting agent, and an aqueous carrier. The polishing compositions also exhibit multimodal particle size distributions.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Inventors: Brian REISS, Jakub NALASKOWSKI, Viet LAM, Renhe JIA, Jeffrey DYSARD
  • Publication number: 20150102010
    Abstract: Disclosed are a chemical-mechanical polishing composition and a method of polishing a substrate. The polishing composition comprises wet-process ceria abrasive particles, (e.g., about 120 nm or less), at least one alcohol amine, at least one surfactant having at least one hydrophilic moiety and at least one hydrophobic moiety, the surfactant having a molecular weight of about 1000, and water, wherein the polishing composition has a pH of about 6. The polishing composition can be used, e.g., to polish any suitable substrate, such as a polysilicon wafer used in the semiconductor industry.
    Type: Application
    Filed: October 10, 2013
    Publication date: April 16, 2015
    Inventor: Brian REISS
  • Publication number: 20150104941
    Abstract: A barrier chemical mechanical planarization polishing composition is provided that includes suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of where n refers to the total numbers of the repeating unit ranging from 6,818 to 181,817; and the molecular weights of polyethylene oxide ranging from 100,000 to 8,000,000. There is also provided a chemical mechanical polishing method using the barrier chemical mechanical planarization polishing composition.
    Type: Application
    Filed: September 30, 2014
    Publication date: April 16, 2015
    Inventors: Maitland Gary Graham, JAMES ALLEN SCHLUETER, XIAOBO SHI
  • Publication number: 20150104940
    Abstract: A barrier chemical mechanical planarization polishing composition is provided that includes the suitable chemical additives. The suitable chemical additives are organic polymer molecules containing ethylene oxide repeating units having the general molecular structure of where n refers to the total numbers of the repeating unit ranging from 6,818 to 181,817; and the molecular weights of polyethylene oxide ranged from 100,000 to 8,000,000. There is also provided a chemical mechanical polishing method using the barrier chemical mechanical planarization polishing composition.
    Type: Application
    Filed: October 11, 2013
    Publication date: April 16, 2015
    Applicant: AIR PRODUCTS AND CHEMICALS INC.
    Inventors: Maitland Gary Graham, JAMES ALLEN SCHLUETER, XIAOBO SHI
  • Patent number: 9005472
    Abstract: An aqueous polishing agent, comprising, as the abrasive, at least one kind of polymer particles (A) finely dispersed in the aqueous phase and having at their surface a plurality of at least one kind of functional groups (a1) capable of interacting with the metals and/or the metal oxides on top of the surfaces to be polished and forming complexes with the said metals and metal cations, the said polymer particles (A) being preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomer or polymer containing a plurality of functional groups (a1); graft copolymers preparable by the emulsion or suspension polymerization of at least one monomer containing at least one radically polymerizable double bond in the presence of at least one oligomeric or polymeric aminotriazine-polyamine condensate; and a process for the chemical and mechanical polishing of patterned and unstructured metal surfaces making
    Type: Grant
    Filed: January 19, 2011
    Date of Patent: April 14, 2015
    Assignee: BASF SE
    Inventors: Vijay Immanuel Raman, Ilshat Gubaydullin, Mario Brands, Yuzhuo Li, Maxim Peretolchin