With Increased Effective Electrode Surface Area (e.g., Tortuous Path, Corrugated, Or Textured Electrodes) Patents (Class 257/309)
  • Patent number: 11569388
    Abstract: A multi-gate FinFET including a negative capacitor connected to one of its gates, a method of manufacturing the same, and an electronic device comprising the same are disclosed. In one aspect, the FinFET includes a fin extending in a first direction on a substrate, a first gate extending in a second direction crossing the first direction on the substrate on a first side of the fin to intersect the fin, a second gate opposite to the first gate and extending in the second direction on the substrate on a second side of the fin opposite to the first side to intersect the fin, a metallization stack provided on the substrate and above the fin and the first and second gates, and a negative capacitor formed in the metallization stack and connected to the second gate.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: January 31, 2023
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Zhengyong Zhu
  • Patent number: 11557534
    Abstract: A semiconductor package includes a semiconductor chip having an active surface on which a connection pad is disposed and an inactive surface opposing the active surface, and a first encapsulant covering at least a portion of each of the inactive surface and a side surface of the semiconductor chip. A metal layer is disposed on the first encapsulant, and includes a first conductive layer and a second conductive layer, sequentially stacked. A connection structure is disposed on the active surface of the semiconductor chip, and includes a first redistribution layer electrically connected to the connection pad. A lower surface of the first conductive layer is in contact with the first encapsulant and has first surface roughness, and an upper surface of the first conductive layer is in contact with the second conductive layer and has second surface roughness smaller than the first surface roughness.
    Type: Grant
    Filed: July 30, 2021
    Date of Patent: January 17, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: So Yeon Moon, Ji Hye Shim, Seung Hun Chae
  • Patent number: 11469294
    Abstract: A metal-insulator-metal (MIM) capacitor includes a substrate, a first metal layer, a deposition structure, a dielectric layer and a second metal layer. The first metal layer is disposed on the substrate and has a planarized surface. The deposition structure is disposed on the first metal layer, and at least a portion of the deposition structure extends into the planarized surface, wherein the first metal layer and the deposition structure have the same material. The dielectric layer is disposed on the deposition structure. The second metal layer is disposed on the dielectric layer.
    Type: Grant
    Filed: April 30, 2020
    Date of Patent: October 11, 2022
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Bo-Wei Huang, Chun-Wei Kang, Ho-Yu Lai, Chih-Sheng Chang
  • Patent number: 11245035
    Abstract: A multi-gate FinFET including a negative capacitor connected to one of its gates, a method of manufacturing the same, and an electronic device comprising the same are disclosed. In one aspect, the FinFET includes a fin extending in a first direction on a substrate, a first gate extending in a second direction crossing the first direction on the substrate on a first side of the fin to intersect the fin, a second gate opposite to the first gate and extending in the second direction on the substrate on a second side of the fin opposite to the first side to intersect the fin, a metallization stack provided on the substrate and above the fin and the first and second gates, and a negative capacitor formed in the metallization stack and connected to the second gate.
    Type: Grant
    Filed: August 27, 2020
    Date of Patent: February 8, 2022
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huilong Zhu, Zhengyong Zhu
  • Patent number: 11239325
    Abstract: Structures and methods that include a device such as a gate-all-around transistor formed on a frontside and a contact to one terminal of the device from the frontside of the structure and one terminal of the device from the backside of the structure. The backside contact may include selectively etching from the backside a first trench extending to expose a first source/drain structure and a second trench extending to a second source/drain structure. A conductive layer is deposited in the trenches and patterned to form a conductive via to the first source/drain structure.
    Type: Grant
    Filed: September 29, 2020
    Date of Patent: February 1, 2022
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Lin-Yu Huang, Li-Zhen Yu, Chia-Hao Chang, Cheng-Chi Chuang, Kuan-Lun Cheng, Chih-Hao Wang
  • Patent number: 11152383
    Abstract: A memory cell may include first and second storage transistors. A first capacitor includes a first capacitor active region disposed within a substrate and a capacitor plate comprised of a first floating gate portion of a floating gate. A second capacitor includes a second capacitor active region disposed within the substrate and a capacitor plate comprised of a second floating gate portion of the floating gate. The first storage transistor includes source/drain regions disposed within a bit line write region and a first gate electrode comprised of a third floating gate portion of the floating gate. The second storage transistor includes source/drain regions disposed within a bit line read region and a second gate electrode comprised of a fourth floating gate portion of the floating gate. The bit line read and write regions are offset from one another by a non-zero distance.
    Type: Grant
    Filed: March 3, 2020
    Date of Patent: October 19, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shih-Hsien Chen, Chun-Yao Ko, Felix Ying-Kit Tsui
  • Patent number: 11121135
    Abstract: A structure of memory cell includes a substrate. The substrate includes a first active region, a second active region and a first shallow trench isolation (STI) structure between the first active region and the second active region, wherein the first active region is lower than the second active region. A first contact structure is disposed on the first active region. A first stack structure is on the first contact structure. A second contact structure is on the substrate with a bottom portion in the substrate at an interface between the second active region and the first STI structure. A dielectric spacer is at least on a sidewall of the first contact structure. An insulating layer is disposed on the dielectric spacer and between the second contact structure and the first contact structure with the first stack structure, wherein a dielectric constant of the dielectric spacer is lower than a dielectric constant of the insulating layer.
    Type: Grant
    Filed: May 15, 2020
    Date of Patent: September 14, 2021
    Assignee: Winbond Electronics Corp.
    Inventor: Noriaki Ikeda
  • Patent number: 11048225
    Abstract: The invention relates to a control system for measuring pressure and/or humidity, comprising at least one apparatus for measuring pressure and/or humidity, comprising at least one sensor for measuring pressure and/or humidity, wherein the sensor comprises at least one capacitor comprising at least two electrodes that are arranged, in particular, in a horizontal direction along and on an, in particular, flexible support material relative to one another. At least one dielectric layer is arranged between the electrodes, wherein at least one at least partially liquid-permeable and/or liquid-adsorbing moisture layer is arranged at least in some places on a side, facing away from the support material, of at least one electrode and/or of the dielectric layer.
    Type: Grant
    Filed: December 10, 2018
    Date of Patent: June 29, 2021
    Assignees: B-Horizon GmbH
    Inventor: Mohammed Kabany
  • Patent number: 11024709
    Abstract: A fin field effect transistor device with air gaps, including a source/drain layer on a substrate, one or more vertical fin(s) in contact with source/drain layer, a gate metal fill that forms a portion of a gate structure on each of the one or more vertical fin(s), and a bottom void space between the source/drain layer and the gate metal fill.
    Type: Grant
    Filed: March 5, 2020
    Date of Patent: June 1, 2021
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Hari V. Mallela, Robert R. Robison, Reinaldo A. Vega, Rajasekhar Venigalla
  • Patent number: 11011459
    Abstract: An integrated circuit (IC), including a substrate and back-end-of-line (BEOL) layers on the substrate is described. The IC includes a sensor in a BEOL layer (Mx) of the BEOL layers. The BEOL sensor includes conductive traces and shield traces interdigitated with the conductive traces in the BEOL layer Mx. The BEOL sensor also includes a first ground shield in a BEOL layer Mx?1, and a second ground shield in a BEOL layer Mx+1. The BEOL sensor further includes logic configured to ground/float the shield traces.
    Type: Grant
    Filed: February 6, 2020
    Date of Patent: May 18, 2021
    Assignee: QUALCOMM INCORPORATED
    Inventors: Samy Shafik Tawfik Zaynoun, David Kidd
  • Patent number: 10930703
    Abstract: A method for crystalized silicon structures from amorphous structures in a magnetic memory array, wherein the temperature needed to crystalize the amorphous silicon is lower than the temperature budget of the memory element so as to avoid damage to the memory element. An amorphous silicon is deposited, followed by a layer of Ti or Co. An annealing process is then performed which causes the Ti or Co to form TiSi2 or CoSi2 and also causes the underlying amorphous silicon to crystallize.
    Type: Grant
    Filed: December 31, 2018
    Date of Patent: February 23, 2021
    Assignee: SPIN MEMORY, INC.
    Inventors: Kuk-Hwan Kim, Dafna Beery, Marcin Gajek, Michail Tzoufras, Kadriye Deniz Bozdag, Eric Michael Ryan, Satoru Araki, Andrew J. Walker
  • Patent number: 10847550
    Abstract: An insulating film provided between adjacent pixels is referred to as a bank, a partition, a barrier, an embankment or the like, and is provided above a source wiring or a drain wiring for a thin film transistor, or a power supply line. In particular, at an intersection portion of these wirings provided in different layers, a larger step is formed there than in other portions. Even when the insulating film provided between adjacent pixels is formed by a coating method, thin portions are problematically partially formed due to this step and the withstand pressure is reduced. In the present invention, a dummy material is arranged near the large step portion, particularly, around the intersection portion of wirings, so as to alleviate unevenness formed thereover. The upper wiring and the lower wiring are arranged in a misaligned manner so as not to align the end portions.
    Type: Grant
    Filed: May 14, 2018
    Date of Patent: November 24, 2020
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Masayuki Sakakura, Shunpei Yamazaki
  • Patent number: 10811402
    Abstract: The invention provides a memory device and microelectronic package having the same. The microelectronic package comprises at least one memory device which is adapted to be stacked vertically with one another, and a processing device stacked vertically and adjacently with the at least one memory device and electrically connected to the conductive interconnects. Each of the memory devices comprises a substrate and a plurality of memory units. The substrate presents a front surface and a back surface. The memory units are formed on the front surface, each of which comprises a plurality of memory cells and a plurality of conductive interconnects electrically connected to the memory cells. In each of the memory units, the conductive interconnects contribute to a plurality of signal channels each of which is dedicated to transmit signals from the processing device to one of the memory units and vice versa.
    Type: Grant
    Filed: December 26, 2018
    Date of Patent: October 20, 2020
    Assignee: AP Memory Technology Corp.
    Inventors: Wenliang Chen, Lin Ma, Alessandro Minzoni
  • Patent number: 10797183
    Abstract: A capacitor that includes a substrate; a capacitor formation region in which one or more trenches are formed; a dummy region located between the capacitor formation region and an end of the substrate; a first electrode formed inside the one or more trenches to cover the capacitor formation region, and a dielectric film; a second electrode that covers the capacitor formation region and has a different potential from the first electrode; and an extended portion that formed in the dummy region. Moreover, the extended portion forms a recess or a protrusion on the substrate in a path from the second electrode to the end portion of the substrate.
    Type: Grant
    Filed: November 16, 2018
    Date of Patent: October 6, 2020
    Assignee: MURATA MANUFACTURING CO., LTD.
    Inventors: Masaki Takeuchi, Shigeki Nishiyama, Hiroshi Nakagawa, Satoru Goto, Yoshinari Nakamura
  • Patent number: 10797178
    Abstract: There are provided a multi-gate FinFET including a negative capacitor connected to one of its gates, a method of manufacturing the same, and an electronic device comprising the same. The FinFET may include a fin extending in a first direction on a substrate, a first gate extending in a second direction crossing the first direction on the substrate on a first side of the fin to intersect the fin, a second gate opposite to the first gate and extending in the second direction on the substrate on a second side of the fin opposite to the first side to intersect the fin, a metallization stack provided on the substrate and above the fin and the first and second gates, and a negative capacitor formed in the metallization stack and connected to the second gate.
    Type: Grant
    Filed: August 3, 2018
    Date of Patent: October 6, 2020
    Assignee: Institute of Microelectronics Chinese Academy of Sciences
    Inventors: Huilong Zhu, Zhengyong Zhu
  • Patent number: 10629516
    Abstract: Structures for field-effect transistors and methods for fabricating a structure for field-effect transistors. A contact structure is formed that includes a first contact arranged over a source/drain region and a second contact arranged over the first contact. A dielectric cap is formed over the second contact. A via is formed that extends in a vertical direction through the dielectric cap to the second contact. An interconnect is formed over the dielectric cap, and is connected by the via with the second contact.
    Type: Grant
    Filed: August 28, 2018
    Date of Patent: April 21, 2020
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Daniel Chanemougame, Julien Frougier, Ruilong Xie
  • Patent number: 10622363
    Abstract: A memory cell comprises first and second transistors laterally displaced relative one another. A capacitor is above the first and second transistors. The capacitor comprises a container-shape conductive first capacitor node electrically coupled with a first current node of the first transistor, a conductive second capacitor node electrically coupled with a first current node of the second transistor, and a capacitor dielectric material between the first capacitor node and the second capacitor node. The capacitor dielectric material extends across a top of the container-shape first capacitor node. Additional embodiments and aspects, including method, are disclosed.
    Type: Grant
    Filed: June 14, 2019
    Date of Patent: April 14, 2020
    Assignee: Micron Technology, Inc.
    Inventor: Scott E. Sills
  • Patent number: 10559582
    Abstract: A three-dimensional memory device includes source-level material layers located over a substrate, the source-level material layers containing a source contact layer, an alternating stack of insulating layers and electrically conductive layers located over the substrate-level material layers, memory stack structures extending through the alternating stack, such that each of the memory stack structures includes a memory film and a vertical semiconductor channel having a bottom surface that contacts a respective horizontal surface of the source contact layer, and dielectric pillar structures embedded within the substrate-level material layers and located between the memory stack structures.
    Type: Grant
    Filed: June 4, 2018
    Date of Patent: February 11, 2020
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Masatoshi Nishikawa, Shinsuke Yada, Masanori Tsutsumi
  • Patent number: 10297516
    Abstract: A semiconductor device includes a semiconductor element, a base, and an outer packaging resin. The base has a mounting surface, on which the semiconductor element is mounted, and a groove provided around the semiconductor element on the mounting surface. An outer packaging resin covers the semiconductor element and the base, and is fixed to the base by filling the groove. A bottom of the groove includes a first recess-projection having a first amplitude and a first repetition interval along an extending direction of the groove. The first recess-projection includes a second recess-projection having a second amplitude smaller than the first amplitude and a second repetition interval shorter than the first repetition interval along the extending direction of the groove.
    Type: Grant
    Filed: March 1, 2017
    Date of Patent: May 21, 2019
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Masayuki Nagamatsu, Shinya Marumo, Junichi Kimura, Tatsuya Kunisato, Ryosuke Usui
  • Patent number: 10164004
    Abstract: Disclosed herein is a device that includes: a semiconductor substrate; a first insulating layer over a surface of the semiconductor substrate; first and second contact plugs each including side and upper surfaces, the side surfaces of the first and second contact plugs being surrounded by the first insulating film, the upper surfaces of the first and second contact plugs being substantially on the same plane with an upper surface of the first insulating layer; a second insulating layer over the first insulating layer; a first conductive layer including a bottom portion on the first contact plug and a side portion surrounded by the second insulating layer; a third insulating layer over the first conductive layer; and a second conductive layer on the second contact plug, apart of a side surface of the second conductive layer being surrounded by both the second and third insulating layers.
    Type: Grant
    Filed: February 17, 2016
    Date of Patent: December 25, 2018
    Assignee: Micron Technology, Inc.
    Inventor: Takashi Sasaki
  • Patent number: 10147719
    Abstract: A semiconductor device includes a substrate, source/drain contacts, gate structures, conductive elements, and a first stop layer. The substrate has source/drain regions formed therein. The source/drain contacts are over the substrate and each of the source/drain contacts is electrically connected to the respective source/drain region. The gate structures are arranged in parallel on the substrate. The source/drain regions are arranged at opposite sides of the gate structures. Each of the gate structures is sandwiched between two most adjacent source/drain contacts. The conductive element is on the source/drain contacts and crosses over the gate structures. The conductive element is overlapped with at least one gate structure and at least two most adjacent source/drain contacts and is electrically connected to the at least two most adjacent source/drain contacts.
    Type: Grant
    Filed: November 17, 2016
    Date of Patent: December 4, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chin-Shan Wang, Shun-Yi Lee
  • Patent number: 10109674
    Abstract: A method of fabrication of a device includes forming a first metallization layer that is coupled to a logic device of the device. The method further includes forming a second metallization layer that is coupled to a magnetoresistive random access memory (MRAM) module of the device. The second metallization layer is independent of the first metallization layer.
    Type: Grant
    Filed: August 10, 2015
    Date of Patent: October 23, 2018
    Assignee: QUALCOMM Incorporated
    Inventors: Yu Lu, Seung Hyuk Kang
  • Patent number: 10109336
    Abstract: A ferroelectric device includes a first electrode and a second electrode that each comprise one or more electrically conductive layers. The ferroelectric device also includes a layer of ferroelectric material disposed between, and in electrical communication with, the first electrode and the second electrode. In some embodiments, the first electrode and/or the second electrode include a stepped vertical protrusion that protrudes into the layer of ferroelectric material. In certain embodiments, the layer of ferroelectric material comprises a region of reduced lateral width. The region of reduced lateral width and/or the stepped vertical protrusion enables changing a polarity of a portion of the ferroelectric material that is proximate to those features, in response to a programming signal applied across the first and second electrodes, without changing a polarity of one or more other portions of the layer of ferroelectric material. A corresponding method is also disclosed herein.
    Type: Grant
    Filed: November 9, 2017
    Date of Patent: October 23, 2018
    Assignee: International Business Machines Corporation
    Inventors: Martin M. Frank, Jonathan Z. Sun
  • Patent number: 9776854
    Abstract: According to one embodiment, a method of manufacturing a device is provided. A amorphous metal layer is formed. A metal layer containing metal and having a crystal plane oriented to a predetermined plane is formed on the amorphous metal layer. A first layer containing semiconductor including silicon, and metal identical to the metal contained in the metal layer is formed on the metal layer. The first layer is changed to a second layer containing a compound of the semiconductor and the metal, the compound having a crystal plane oriented to the predetermined plane. A third layer containing polycrystalline silicon-germanium and having a crystal plane oriented to the predetermined plane is formed on the second layer.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: October 3, 2017
    Assignee: KABUSHIKI KAISHA TOSHIBA
    Inventors: Akira Fujimoto, Naofumi Nakamura, Tamio Ikehashi
  • Patent number: 9780200
    Abstract: A semiconductor arrangement and a method for manufacturing the same. An arrangement may include a bulk semiconductor substrate; a fin formed on the substrate; a first FinFET and a second FinFET formed on the substrate. The first FinFET comprises a first gate stack intersecting the fin and a first gate spacer disposed on sidewalls of the first gate stack. The second FinFET includes a second gate stack intersecting the fin and a second gate spacer disposed on sidewalls of the second gate stack; a dummy gate spacer formed between the first FinFET and the second FinFET and intersecting the fin; an isolation section self-aligned to a space defined by the dummy gate spacer. The isolation section electrically isolates the first FinFET from the second FinFET; and an insulation layer disposed under and abutting the isolation section.
    Type: Grant
    Filed: January 16, 2014
    Date of Patent: October 3, 2017
    Assignee: INSTITUTE OF MICROELECTRONICS, CHINESE ACADEMY OF SCIENCES
    Inventor: Huilong Zhu
  • Patent number: 9761580
    Abstract: A method of forming an array comprising pairs of vertically opposed capacitors comprises forming an upwardly-open conductive lining in individual capacitor openings in insulative-comprising material. An elevational mid-portion of individual of the conductive linings is removed to form an upper capacitor electrode lining and a lower capacitor electrode lining that are elevationally separate and spaced from one another in the individual capacitor openings. A capacitor insulator is formed radially inward of the upper and lower capacitor electrode linings in the individual capacitor openings. Conductive material is formed radially inward of the capacitor insulator in the individual capacitor openings and elevationally between the capacitor electrode linings. The conductive material is formed to comprise a shared capacitor electrode that is shared by vertically opposed capacitors in individual of the pairs of vertically opposed capacitors. Additional methods and structure independent of method are disclosed.
    Type: Grant
    Filed: November 1, 2016
    Date of Patent: September 12, 2017
    Assignee: Micron Technology, Inc.
    Inventor: Durai Vishak Nirmal Ramaswamy
  • Patent number: 9685562
    Abstract: An object is to provide a semiconductor device having electrical characteristics such as high withstand voltage, low reverse saturation current, and high on-state current. In particular, an object is to provide a power diode and a rectifier which include non-linear elements. An embodiment of the present invention is a semiconductor device including a first electrode, a gate insulating layer covering the first electrode, an oxide semiconductor layer in contact with the gate insulating layer and overlapping with the first electrode, a pair of second electrodes covering end portions of the oxide semiconductor layer, an insulating layer covering the pair of second electrodes and the oxide semiconductor layer, and a third electrode in contact with the insulating layer and between the pair of second electrodes. The pair of second electrodes are in contact with end surfaces of the oxide semiconductor layer.
    Type: Grant
    Filed: April 19, 2016
    Date of Patent: June 20, 2017
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Hiromichi Godo, Satoshi Kobayashi
  • Patent number: 9607894
    Abstract: An electronic device package has a base and an electronic device chip mounted on the base. The electronic device chip includes a semiconductor substrate having a front side and a back side, a electronic component disposed on the front side of the semiconductor substrate, an interconnect structure disposed on the electronic component, a through hole formed through the semiconductor substrate from the back side of the semiconductor substrate, connecting to the interconnect structure, and a TSV structure disposed in the through hole. The interconnect structure is electrically connected to the RF component, and a thickness of the semiconductor substrate is less than that of the interconnect structure.
    Type: Grant
    Filed: February 13, 2015
    Date of Patent: March 28, 2017
    Assignee: MEDIATEK INC.
    Inventors: Ming-Tzong Yang, Cheng-Chou Hung, Tung-Hsing Lee, Wei-Che Huang, Yu-Hua Huang
  • Patent number: 9536900
    Abstract: A method of manufacturing a semiconductor device, by etching a region of an SOI substrate so that only a portion of the original semiconductor is present above the insulator layer. After etching has occurred, a different semiconductor material is grown in the etched region, and fins are formed. An isolation layer is deposited to a height above that the base semiconductor of the etched region.
    Type: Grant
    Filed: May 22, 2014
    Date of Patent: January 3, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Ravikumar Ramachandran, Huiling Shang, Keith Tabakman, Henry K. Utomo, Reinaldo A. Vega
  • Patent number: 9472558
    Abstract: Disclosed are semiconductor structures and methods of forming them. The structures include field effect transistors (FETs) with different type conductivities in different levels, respectively, of the same fin, wherein the numbers of FETs in the different levels are different. Specifically, in a fin, a first semiconductor layer has source/drain and channel regions for a first and a second transistor and a second semiconductor layer has source/drain and channel regions for a third transistor with a different type conductivity than first and second transistors. A gate is on the top surface and sides of the first semiconductor layer at the channel region of the first transistor. Another gate has a lower portion on the sides of the first semiconductor layer at the channel region of the second transistor and an upper portion on the top surface and sides of the second semiconductor layer at the channel region of the third transistor.
    Type: Grant
    Filed: November 13, 2015
    Date of Patent: October 18, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Kangguo Cheng, Ali Khakifirooz, Carl Radens, Robert C. Wong
  • Patent number: 9466663
    Abstract: A semiconductor arrangement includes an active region including a semiconductor device. The semiconductor arrangement includes a capacitor having a first electrode layer, a second electrode layer, and an insulating layer between the first electrode layer and the second electrode layer. At least three dielectric layers are between a bottom surface of the capacitor and the active region.
    Type: Grant
    Filed: October 25, 2013
    Date of Patent: October 11, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chern-Yow Hsu, Shih-Chang Liu, Chia-Shiung Tsai, Xiaomeng Chen, Chen-Jong Wang
  • Patent number: 9425046
    Abstract: Techniques for processing silicon germanium (SiGe) thin films to reduce surface roughness thereof are provided herein. In an aspect, a method is disclosed that includes depositing a silicon germanium (SiGe) material upon a surface of a substrate at or below about 450 degrees Celsius, the substrate having a plurality of CMOS devices therein and forming, from the deposited SiGe material, a SiGe material film, wherein the SiGe material film has a jagged surface comprising projections and indentations extended along a direction substantially perpendicular to the surface of the substrate. The method further includes performing a chemical mechanical planarization (CMP) process to the jagged surface of the SiGe material, and reducing variations between the projections and the indentions along the direction substantially perpendicular to the surface of the substrate, and transforming the jagged surface of the SiGe material into a relatively smooth surface, compared to the jagged surface.
    Type: Grant
    Filed: July 18, 2014
    Date of Patent: August 23, 2016
    Assignee: Crossbar, Inc.
    Inventors: Harry Yue Gee, Steven Patrick Maxwell, Natividad Vasquez, Jr., Sundar Narayanan
  • Patent number: 9402197
    Abstract: A measurement collection method used in a mobile communication system, comprises: a base station includes unnecessary area information, which indicates a measurement unnecessary area requiring no measurement data, into a configuration message as one of a parameter, and transmits the configuration message to a radio terminal; and the radio terminal excludes measurement data, which corresponds to the measurement unnecessary area indicated by the unnecessary area information, from a report object to the network, or stops measurement in the measurement unnecessary area and reports measurement data including a measurement result, other than that in the measurement unnecessary area, to the network.
    Type: Grant
    Filed: April 6, 2012
    Date of Patent: July 26, 2016
    Assignee: KYOCERA Corporation
    Inventor: Masato Fujishiro
  • Patent number: 9397135
    Abstract: An integrated circuit having an array of APS cells. Each cell in the array has at least one transistor source or drain region that is raised relative to a channel region formed in a semiconductor substrate. The raised source or drain region includes doped polysilicon deposited on the surface of the semiconductor body and a region of the bodyextending to the channel region that has been doped to an opposite doping type from that of the channel region by diffusion of dopants from the deposited polysilicon.
    Type: Grant
    Filed: August 24, 2015
    Date of Patent: July 19, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventor: Jhy-Jyi Sze
  • Patent number: 9362170
    Abstract: At least one dielectric material layer having a top surface above the topmost surface of the gate electrode of a field effect transistor is formed. Active region contact via structures are formed through the at least one dielectric material layer to the source region and the drain region. A self-aligned gate contact cavity is formed over the gate electrode such that at least one sidewall of the gate contact cavity is a sidewall of the active region contact via structures. A dielectric spacer is formed at the periphery of the gate contact cavity by deposition of a dielectric liner and an anisotropic etch. A conductive material is deposited in the gate contact cavity and planarized to form a self-aligned gate contact via structure that is electrically isolated from the active region contact via structures by the dielectric spacer.
    Type: Grant
    Filed: October 9, 2014
    Date of Patent: June 7, 2016
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Kangguo Cheng, Ali Khakifirooz
  • Patent number: 9343237
    Abstract: A capacitor structure includes at least two capacitors. A first electrode includes a bottom conductive plane and first vertical conductive structures. The bottom conductive plane is disposed over a substrate. The bottom conductive plane has a first area and a first shape. At least two second electrodes include top conductive planes and second vertical conductive structures. A combined area of the top conductive planes and a gap area between adjacent top conductive planes has a second area and a second shape. The first area and the second area are about the same and the first shape and the second shape are about the same. An insulating structure is disposed between the first electrode and the second electrodes. The first vertical conductive structures and the second vertical conductive structures are interlaced with each other. The capacitors share the bottom conductive plane and have separate top conductive planes.
    Type: Grant
    Filed: January 20, 2015
    Date of Patent: May 17, 2016
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Lan-Chou Cho, Chewn-Pu Jou
  • Patent number: 9343546
    Abstract: A semiconductor device includes a channel region extending in a vertical direction perpendicular to a substrate and having a nitrogen concentration distribution, a plurality of gate electrodes arranged on a side wall of the channel region and separated from each other in a vertical direction, and a gate dielectric layer disposed between the channel region and the gate electrodes. The nitrogen concentration distribution has a first concentration near an interface between the channel region and the gate dielectric layer.
    Type: Grant
    Filed: October 3, 2014
    Date of Patent: May 17, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Bi-o Kim, Jin-tae Noh, Chang-woo Sun, Jae-young Ahn, Seung-hyun Lim, Ki-hyun Hwang
  • Patent number: 9318367
    Abstract: A method for forming FinFETs comprises forming a plurality of first fins and a plurality of second fins protruding over a substrate, wherein two adjacent first fins are separated from each other by a plurality of first isolation regions and two adjacent second fins are separated from each other by a plurality of second isolation regions. The method further comprises applying a first ion implantation process to the first isolation region, wherein dopants with a first polarity type are implanted in the first isolation region, applying a second ion implantation process to the second isolation region, wherein dopants with a second polarity type are implanted in the second isolation region and recessing the first isolation regions and the second isolation regions through an etching process.
    Type: Grant
    Filed: February 27, 2013
    Date of Patent: April 19, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yu-Lien Huang, Chi-Kang Liu, Chi-Wen Liu
  • Patent number: 9202861
    Abstract: A capacitor including a substrate, a conductive layer, a middle dielectric material layer, a first dielectric material layer, and a second dielectric material layer is provided. The conductive layer includes a first electrode and a second electrode, and the conductive layer is located over the substrate. The middle dielectric material layer is located between the first electrode and the second electrode. The first dielectric material layer is located between the middle dielectric material layer and the first electrode. The second dielectric material layer is located between the middle dielectric material layer and the second electrode. The dielectric constant of the middle dielectric material layer is different from the dielectric constants of the first dielectric material layer and the second dielectric material layer.
    Type: Grant
    Filed: July 29, 2015
    Date of Patent: December 1, 2015
    Assignee: United Microelectronics Corp.
    Inventor: Yong-Ji Mao
  • Patent number: 9190487
    Abstract: A dielectric metal compound liner can be deposited on a semiconductor fin prior to formation of a disposable gate structure. The dielectric metal compound liner protects the semiconductor fin during the pattering of the disposable gate structure and a gate spacer. The dielectric metal compound liner can be removed prior to formation of source and drain regions and a replacement gate structure. Alternately, a dielectric metal compound liner can be deposited on a semiconductor fin and a gate stack, and can be removed after formation of a gate spacer. Further, a dielectric metal compound liner can be deposited on a semiconductor fin and a disposable gate structure, and can be removed after formation of a gate spacer and removal of the disposable gate structure. The dielectric metal compound liner can protect the semiconductor fin during formation of the gate spacer in each embodiment.
    Type: Grant
    Filed: May 21, 2014
    Date of Patent: November 17, 2015
    Assignees: GLOBALFOUNDRIES INC., GLOBALFOUNDRIES U.S. 2 LLC
    Inventors: Ali Khakifirooz, Thomas N. Adam, Kangguo Cheng, Shom Ponoth, Alexander Reznicek, Raghavasimhan Sreenivasan, Xiuyu Cai, Ruilong Xie
  • Patent number: 9145604
    Abstract: A thin film forming method which forms a seed film and an impurity-containing silicon film on a surface of an object to be processed in a processing container configured to be vacuum exhaustible includes: performing a first step which forms the seed film by supplying a seed film raw material gas including at least any one of an aminosilane-based gas and a higher silane into the processing container; and performing a second step which forms the impurity-containing silicon film in an amorphous state by supplying a silane-based gas and an impurity-containing gas into the processing container.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: September 29, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Akinobu Kakimoto, Atsushi Endo, Takahiro Miyahara, Shigeru Nakajima, Satoshi Takagi, Kazumasa Igarashi
  • Patent number: 9044590
    Abstract: Polymer materials make useful materials as electrode array bodies for neural stimulation. They are particularly useful for retinal stimulation to create artificial vision. Regardless of which polymer is used, the basic construction method is the same. A layer of polymer is laid down. A layer of metal is applied to the polymer and patterned to create electrodes and leads for those electrodes. A second layer of polymer is applied over the metal layer and patterned to leave openings for the electrodes, or openings are created later by means such as laser ablation. Hence the array and its supply cable are formed of a single body.
    Type: Grant
    Filed: August 8, 2013
    Date of Patent: June 2, 2015
    Assignee: Second Sight Medical Products, Inc.
    Inventors: Robert J Greenberg, Jerry Ok, Jordan Matthew Neysmith, Brian V Mech, Neil Hamilton Talbot
  • Patent number: 9012309
    Abstract: Collections of laterally crystallized semiconductor islands for use in thin film transistors and systems and methods for making same are described. A display device includes a plurality of thin film transistors (TFTs) on a substrate, such that the TFTs are spaced apart from each other and each include a channel region that has a crystalline microstructure and a direction along which a channel current flows. The channel region of each of the TFTs contains a crystallographic grain that spans the length of that channel region along its channel direction. Each crystallographic grain in the channel region of each of the TFTs is physically disconnected from and crystallographically uncorrelated with each crystallographic grain in the channel region of each adjacent TFT.
    Type: Grant
    Filed: October 16, 2013
    Date of Patent: April 21, 2015
    Assignee: The Trustees of Columbia University in the City of New York
    Inventors: James S. Im, Ui-Jin Chung
  • Patent number: 8975682
    Abstract: An integrated circuit (IC) includes at least one capacitor with metal electrodes. At least one of the electrodes (10 or 30) is formed from at least surface-silicided hemispherical grain silicon or silicon alloy. A fabrication process for obtaining such a capacitor with silicided metal electrodes is also provided.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: March 10, 2015
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Aomar Halimaoui, Rebha El Farhane, Benoit Froment
  • Publication number: 20150060973
    Abstract: An array substrate for a liquid crystal display device includes a first storage capacitor and a second storage capacitor for increased capacitance. The first storage capacitor is formed by a first common electrode and a pixel electrode. The second storage capacitor is formed by a second common electrode and the pixel electrode.
    Type: Application
    Filed: September 2, 2014
    Publication date: March 5, 2015
    Inventors: WU-LIU TSAI, YI-CHUN KAO, HSIN-HUA LIN, PO-LI SHIH, CHIH-LUNG LEE
  • Patent number: 8971014
    Abstract: A capacitor structure includes first and second sets of electrodes and a plurality of line plugs. The first set of electrodes has a first electrode and a second electrode formed in a first metallization layer among a plurality of metallization layers, wherein the first electrode and the second electrode are separated by an insulation material. The second set of electrodes has a third electrode and a fourth electrode formed in a second metallization layer among the plurality of metallization layers, wherein the third electrode and the fourth electrode are separated by the insulation material. The line plugs connect the second set of electrodes to the first set of electrodes.
    Type: Grant
    Filed: January 5, 2011
    Date of Patent: March 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wei-Chun Hua, Chung-Long Chang, Chun-Hung Chen, Chih-Ping Chao, Jye-Yen Cheng, Hua-Chou Tseng
  • Patent number: 8951914
    Abstract: A device manufacturing method includes: sequentially forming a first sacrificial film, a first support film, a second sacrificial film, and a second support film on a semiconductor substrate; forming a hole to pass through these films; forming a crown-shaped electrode covering an inner surface of the hole and connected to the second support film and the first support film; forming a first opening in the second support film into a first pattern designed such that the connection between the crown-shaped electrode and the second support film is at least partially maintained; removing at least a part of the second sacrificial film through the first opening; forming a second opening in the first support film with use of the first opening; and removing the first sacrificial film through the second opening. This method is able to prevent misalignment of openings between the support films.
    Type: Grant
    Filed: October 4, 2013
    Date of Patent: February 10, 2015
    Assignee: PS4 Luxco S.a.r.l.
    Inventor: Nobuyuki Sako
  • Patent number: 8941165
    Abstract: Methods are provided for fabricating semiconductor devices having capacitors, which prevent lower electrodes of the capacitors from breaking or collapsing and which provide increased capacitance of the capacitors. For instance, a method includes forming a first insulating layer on a semiconductor substrate, forming a first hole in the first insulating layer, forming a contact plug in the first hole, forming a second insulating layer having a landing pad, wherein the landing pad contacts an upper surface of the contact plug, forming an etch stop layer on the landing pad and the second insulating layer, forming a third insulating layer on the etch stop layer; forming a third hole through the third insulating layer and etch stop layer to expose the landing pad, selectively etching the exposed landing pad, forming a lower electrode on the selectively etched landing pad, and then forming a capacitor by forming a dielectric layer and an upper electrode on the lower electrode.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: January 27, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Il Cho, Seung-Young Son, Chang-Jin Kang, Kyeong-Koo Chi, Ji-Chul Shin
  • Patent number: 8927346
    Abstract: An electrically, thermally, or electrically and thermally actuated device is disclosed herein. The device includes a substrate, a first electrode established on the substrate, an active region established on the electrode, and a second electrode established on the active region. A pattern is defined in at least one of the substrate, the first electrode, the second electrode, or the active region. At least one of grain boundaries are formed within, or surface asperities are formed on, at least one of the electrodes or the active region. The pattern controls the at least one of the grain boundaries or surface asperities.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: January 6, 2015
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventor: Theodore I Kamins
  • Patent number: 8916434
    Abstract: A method of encapsulating a ferroelectric capacitor or ferroelectric memory cell includes forming encapsulation materials adjacent to a ferroelectric capacitor. forming a ferroelectric oxide (FEO) layer over the encapsulated ferroelectric capacitor, and forming an FEO encapsulation layer over the ferroelectric oxide to provide additional protection from hydrogen induced degradation.
    Type: Grant
    Filed: May 11, 2012
    Date of Patent: December 23, 2014
    Assignee: Cypress Semiconductor Corporation
    Inventors: Shan Sun, Thomas E. Davenport