With Increased Effective Electrode Surface Area (e.g., Tortuous Path, Corrugated, Or Textured Electrodes) Patents (Class 257/309)
  • Patent number: 6794704
    Abstract: Lower electrodes of capacitors composed of a texturizing underlayer and a conductive material overlayer are provided. The lower electrodes have an upper roughened surface. In one embodiment, the texturizing layer is composed of porous or relief nanostructures comprising a polymeric material, for example, silicon oxycarbide. In another embodiment, the texturizing underlayer is in the form of surface dislocations composed of annealed first and second conductive metal layers, and the conductive metal overlayer is agglomerated onto the surface dislocations as nanostructures in the form of island clusters.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: September 21, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Donald L. Yates, Garry A. Mercaldi, James J. Hofmann
  • Patent number: 6790717
    Abstract: In order to fabricate a semiconductor component having a contact electrode that is T-shaped in cross section, in particular a field-effect transistor with a T gate, a method is described in which a self-aligning positioning of gate base and gate head is effected by means of a spacer produced on a material edge.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: September 14, 2004
    Assignee: United Monolithic Semiconductors GmbH
    Inventor: Dag Behammer
  • Publication number: 20040173838
    Abstract: The bit lines composed of a conductive film containing the tungsten as a principal component are formed inside the side wall spacers formed on the side walls of the wiring grooves. The TiN film having a higher adhesive strength to the silicon oxide than the tungsten is formed on the boundary faces between the bit lines and the side wall spacers, which functions as an adhesive layer that prevents strippings on the boundary faces between the bit lines and the side wall spacers. Thereby, the invention prevents disconnections, even when the width of the wirings having the tungsten as the principal component is fined to 0.1 &mgr;m or less.
    Type: Application
    Filed: March 16, 2004
    Publication date: September 9, 2004
    Applicant: Renesas Technology Corporation.
    Inventors: Teruhisa Ichise, Hiroyuki Uchiyama, Masayuki Suzuki
  • Publication number: 20040173832
    Abstract: The semiconductor storage device comprises memory cell transistors formed on a semiconductor substrate 10; first insulation films 42 covering the top surfaces and the side surfaces of gate electrodes 20 of the memory cell transistors; through-holes 40 opened on first diffused layers 24; a second insulation film 36 with through-holes 40 opened on first diffused layers 24 and through-holes 38 opened on second diffused layers 26 formed in; capacitors formed on the inside walls and the bottoms of the through-holes 40 and including capacitor storage electrodes 46, connected to the first diffused layers 24; capacitor dielectric films 48 covering the capacitor storage electrodes 46, and capacitor-opposed electrodes 54 covering at least a part of the capacitor dielectric films 48; and, contact conducting films 44 formed on the inside walls and bottoms of the through-holes 38, and connected to the second diffused layers.
    Type: Application
    Filed: March 11, 2004
    Publication date: September 9, 2004
    Applicant: FUJITSU LIMITED
    Inventors: Taiji Ema, Tohru Anezaki
  • Patent number: 6787837
    Abstract: A semiconductor memory device includes first and second semiconductor layers, a buried insulating layer, a trench comprising a retreated portion, and the trench defining a first opening width at the second semiconductor layer, a first capacitor electrode formed in the first semiconductor layer, a capacitor insulating film formed in the trench, a second capacitor electrode formed in the trench in the first semiconductor layer, an insulating film formed on a side surface of the retreated portion and defining second and third opening widths, the second opening width serving as a width at the buried insulating layer and being not more than the first opening width, and the third opening width serving as a width at a boundary portion between the buried insulating layer and first semiconductor layer, and a connection portion electrically connected to the second capacitor electrode.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: September 7, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yoshinori Matsubara
  • Patent number: 6787839
    Abstract: The present invention is directed to fabrication of a capacitor formed with a substantially concave shape and having optional folded or convoluted surfaces. The concave shape optimizes surface area within a small volume and thereby enables the capacitor to hold a significant charge so as to assist in increased miniaturization efforts in the microelectronic field. The capacitor is fabricated in microelectronic fashion consistent with a dense DRAM array. Methods of fabrication include stack building with storage nodes that extend above a semiconductor substrate surface.
    Type: Grant
    Filed: May 14, 2002
    Date of Patent: September 7, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Zhiqiang Wu, Kunal Parekh, Li Li
  • Patent number: 6784473
    Abstract: To provide a semiconductor nonvolatile storage device capable of applying distributed voltage efficiently to a ferroelectric capacitor in a semiconductor nonvolatile storage device having an MFMIS structure without enlarging a memory cell area and a method of fabricating the same, a ferroelectric nonvolatile storage element is constructed by a structure successively laminated with a first insulator layer (3), a first conductor layer (4), a ferroelectric layer (5) and a second conductor layer (6) on a channel region and is constructed by a structure having a third conductor (9) and a fourth conductor (10) respectively laminated on a source region and a drain region, in which the third conductor (9) and the fourth conductor (10) are opposed to each other via the first conductor layer (4) and a second insulator thin film (11).
    Type: Grant
    Filed: March 4, 2002
    Date of Patent: August 31, 2004
    Assignees: National Institute of Advanced Industrial Science and Technology, Nippon Precision Circuits Inc.
    Inventors: Shigeki Sakai, Kazuo Sakamaki
  • Patent number: 6784100
    Abstract: This invention provides a capacitor and a method for manufacturing of the same, which are adaptable to preventing a lower electrode from being oxidized at a following thermal process. The capacitor includes: a lower electrode; an oxidation barrier layer formed on the lower electrode, wherein the oxidation barrier layer is formed of at least double nitridation layers; a dielectric layer formed on the oxidation barrier layer; and an upper electrode formed on the dielectric layer.
    Type: Grant
    Filed: December 13, 2002
    Date of Patent: August 31, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hoon-Jung Oh, Kyong-Min Kim, Jong-Bum Park
  • Patent number: 6781185
    Abstract: Apparatus and method for providing high dielectric constant decoupling capacitors for semiconductor structures. The high dielectric constant decoupling capacitor can be fabricated by depositing high dielectric constant material between adjacent conductors on the same level, between conductors in successive levels, or both, to thereby provide very large capacitance value without any area or reliability penalty.
    Type: Grant
    Filed: March 5, 2002
    Date of Patent: August 24, 2004
    Assignee: International Business Machines Corporation
    Inventors: Howard Hao Chen, Louis L. Hsu, Li-Kong Wang
  • Patent number: 6781183
    Abstract: The method for fabricating a capacitor forms a lower electrode of a capacitor over a substrate, adds impurity ions to upper portions of the lateral surfaces; and forms HSG-Si on surfaces of the lower electrode except the upper portions of the lateral surfaces.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: August 24, 2004
    Assignee: Hynix Semiconductor Inc.
    Inventors: Bok Won Cho, Byung Jae Choi, Young Il Cheon
  • Patent number: 6777736
    Abstract: The semiconductor device having the capacitor comprises a plurality of switching elements formed on a semiconductor substrate 1 at a distance, a plurality of capacitors formed in areas between a plurality of switching elements formed in the first direction respectively and each having a lower electrode, a dielectric film and an upper electrode, first wirings for connecting the upper electrodes of the capacitors and the switching elements in the first direction on a one-by-one base, and second wirings formed over a part of the first wirings, the switching elements, and the capacitors to extend in the second direction that intersects with the first direction. Accordingly, the higher speed operation than the prior art can be achieved.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: August 17, 2004
    Assignee: Fujitsu Limited
    Inventors: Kaoru Saigoh, Hisashi Miyazawa, Hirokazu Yamazaki, Hideaki Suzuki
  • Publication number: 20040152259
    Abstract: The present invention is directed to a thin film capacitor of a metal/insulator/metal (MIM) structure and a fabrication method thereof, which is capable of enabling small-sizing of a semiconductor device while maintaining electrostatic capacity of a capacitor. The fabrication method according to the present invention comprises the steps of: forming a plurality of grooves by selectively etching a lower insulation film on a structure of a semiconductor substrate; forming a first electrode layer, a dielectric layer and a second electrode layer in order on the lower insulation film on which the plurality of grooves are formed, such that a plurality of grooves are form in the first electrode layer, the dielectric layer and the second electrode layer, respectively, along a surface shape of the lower insulation film on which the plurality of grooves are formed; and selectively etching the second electrode layer, the dielectric layer and the first electric layer, leaving a predetermined width.
    Type: Application
    Filed: December 30, 2003
    Publication date: August 5, 2004
    Applicant: Anam Semiconductor Inc.
    Inventor: Young-Hun Seo
  • Publication number: 20040150030
    Abstract: There is described a semiconductor device having a storage node capacitor structure suitable for rendering memory cells compact, and storage nodes are prevented from tilting. The device includes a storage node which has a vertical surface extending in the direction perpendicular to the surface of a semiconductor substrate, and a dielectric film for tilt prevention purposes which is brought into close contact with the side surface of the vertical surface and which prevents the vertical surface from tilting.
    Type: Application
    Filed: December 31, 2003
    Publication date: August 5, 2004
    Applicant: RENESAS TECHNOLOGY CORP.
    Inventors: Hiroaki Nishimura, Tomoharu Mametani, Yukihiro Nagai, Akinori Kinugasa, Takeshi Kishida
  • Publication number: 20040150028
    Abstract: A semiconductor memory device comprises select transistors formed on side surfaces of plural silicon columns defined by a grid-like trenches on a surface of a silicon substrate, each select transistor having a source and a drain on the top surface and the bottom of the silicon column. A capacitor is formed on the top surface of the silicon column to form a DRAM cell. The source/drain layers on the bottom of a greater number of memory cells are commonly connected, or the source/drain layers on the bottom of adjacent memory cells are commonly connected, to be brought out to the surface of the silicon substrate by a connection line to be connected to a constant voltage or a bit line.
    Type: Application
    Filed: January 23, 2004
    Publication date: August 5, 2004
    Inventor: Fumio Horiguchi
  • Patent number: 6770930
    Abstract: It is an object to provide a semiconductor device in which a structure of a capacitor is simplified. Any electrical connection of a capacitor (CP10) and source—drain regions (11) and (13) is carried out by a contact plug (101) inserted in the capacitor (CP10) and reaching the source—drain regions (11) and (13). The capacitor (CP10) has a capacitor upper electrode (103) provided to be embedded in an upper main surface of an interlayer insulating film (3) and a capacitor dielectric film (102) provided to cover a side surface and a lower surface of the capacitor upper electrode (103). Moreover, the capacitor dielectric film (102) is also provided to cover a side surface of the contact plug (101) formed to penetrate through the capacitor upper electrode (103), and a portion of the contact plug (101) which is covered with the capacitor dielectric film (102) functions as the capacitor lower electrode (101).
    Type: Grant
    Filed: February 21, 2003
    Date of Patent: August 3, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Toshiyuki Oashi
  • Patent number: 6767781
    Abstract: A bitline contact and method of forming bitline contact for a vertical DRAM array using a bitline contact mask. In the method, gate conductor lines are formed. An oxide layer is deposited over the gate conductor lines, and a bitline contact mask is formed over portions of the oxide layer. The bitline contact mask is etched, and a silicon layer is deposited on the substrate. A bitline layer is deposited on the silicon layer. A masking and etching operation is performed on the bitline layer. A M0 metal is deposited over the silicon layer and on sides of non etched portions of the bitline (M0) layer to form left and right bitlines.
    Type: Grant
    Filed: September 23, 2003
    Date of Patent: July 27, 2004
    Assignees: International Business Machines Corporation, Infineon Technologies North America Corp.
    Inventors: Larry A. Nesbit, Jonathan E. Faltermeier, Ramachandra Divakaruni, Wolfgang Bergner
  • Publication number: 20040129967
    Abstract: To form a bottom electrode of a capacitor of a semiconductor device, a first insulation layer pattern having a first contact hole is formed on a substrate, and a contact plug for the bottom electrode is formed in the contact hole. A second insulation layer is formed on the first insulation layer pattern and the contact plug. The second insulation layer has a second etching rate higher than a first etching rate of the first insulation layer pattern. The second insulation layer is etched to form a second insulation layer pattern having a second a contact hole exposing the contact plug. A conductive film is formed on the sidewall and the bottom face of the second contact hole. According to the difference between the first etching rate and the second etching rate, the etching of the first insulation layer pattern near the contact plug is reduced.
    Type: Application
    Filed: September 24, 2003
    Publication date: July 8, 2004
    Inventors: Si-Youn Kim, Ki-Jae Hur
  • Patent number: 6759705
    Abstract: The present invention relates to an electrically conductive film stack for semiconductors and methods and apparatus for providing same. A film stack comprising a first layer of a platinum-rhodium alloy deposited by metal organic chemical vapor deposition (MOCVD) in the presence of a reducer, such as hydrogen (H2) gas, and a second layer of the platinum-rhodium alloy deposited in the presence of an oxidizing gas, such as ozone (O3), provides an electrical conductor that is also a relatively good barrier to oxygen. The platinum-rhodium film stack can be used as an electrode or capacitor plate for a capacitor with a high-k dielectric material. The electrode formed with alternating reducing and oxidizing agents produces a rough surface texture, which enhances the memory cell capacitance.
    Type: Grant
    Filed: October 10, 2002
    Date of Patent: July 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Haining Yang, Gurtej S. Sandhu
  • Patent number: 6759305
    Abstract: A method for increasing the capacity of an integrated circuit device. The method includes the steps of defining a catalyst area on a substrate, forming a nanotube, nanowire, or nanobelt on the catalyst area, forming a first dielectric layer on the nanotube, nanowire, or nanobelt and the substrate, and forming an electrode layer on the first dielectric layer. According to above method, the capacity is substantially increased without extending the original bottom area of the capacitor electrode by using the surface area of the nanotube, nanowire, or nanobelt as the area of the capacitor electrode.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: July 6, 2004
    Assignee: Industrial Technology Research Institute
    Inventors: Chun-Tao Lee, Cheng-Chung Lee, Bing-Yue Tsui
  • Patent number: 6756627
    Abstract: A method of the present invention forms a vertically oriented structure connected with a source/drain region through open space. In one embodiment of the method wherein a capacitor storage node is formed, the open space is located between two word line gate stacks in a MOS DRAM memory circuit. A thin landing pad is formed of conducting material in the open space extending to the source/drain region and over the tops of the gate stacks. An insulating layer is formed over the gate stacks and the landing pad. A recess is etched down through the insulating layer to expose an annular portion of the landing pad. A volume of the insulating material is left upon the landing pad in the open space. A conductive layer is deposited in the recess making contact with the exposed annular portion of the landing pad.
    Type: Grant
    Filed: March 2, 1998
    Date of Patent: June 29, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Zhiquiang Wu, Li Li, Kunal Parekh
  • Patent number: 6753565
    Abstract: Disclosed is a container capacitor structure and method of constructing it. An etch mask and etch are used to expose portions of an exterior surface of electrode (“bottom electrodes”) of the container capacitor structure. The etch provides a recess between proximal pairs of container capacitor structures, which recess is available for forming additional capacitance. Accordingly, a capacitor dielectric and a top electrode are formed on and adjacent to, respectively, both an interior surface and portions of the exterior surface of the first electrode. Advantageously, surface area common to both the first electrode and second electrodes is increased over using only the interior surface, which provides additional capacitance without a decrease in spacing for clearing portions of the capacitor dielectric and the second electrode away from a contact hole location.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: June 22, 2004
    Assignee: Micron Technology, Inc.
    Inventors: D. Mark Durcan, Trung T. Doan, Roger R. Lee, Fernando Gonzalez
  • Publication number: 20040108536
    Abstract: A semiconductor device having MIM capacitors is configured so that the bottom surface of the lower electrode and a top surface area of an oxidation barrier pattern are substantially equal. Related methods for forming the device are also described.
    Type: Application
    Filed: August 28, 2003
    Publication date: June 10, 2004
    Inventors: Sung-Yung Lee, Nak-Won Jang, Heung-Jin Joo
  • Patent number: 6746915
    Abstract: The stack-type DRAM memory structure of the present invention comprises a plurality of self-aligned thin third conductive islands over shallow heavily-doped source diffusion regions without dummy transistors to obtain a cell size of 6F2 or smaller; a rectangular tube-shaped cavity having a conductive island formed above a nearby transistor-stack being formed over each of the self-aligned thin third conductive islands to offer a larger surface area for forming a high-capacity DRAM capacitor of the present invention; a planarized third conductive island being formed between a pair of first sidewall dielectric spacers and on each of shallow heavily-doped common-drain diffusion regions to offer a larger contact area and a higher contact integrity; and a plurality of planarized conductive contact-islands being formed over the planarized third conductive islands to eliminate the aspect-ratio effect and being patterned and etched simultaneously with a plurality of bit lines.
    Type: Grant
    Filed: July 17, 2002
    Date of Patent: June 8, 2004
    Assignee: Intelligent Sources Development Corp.
    Inventor: Ching-Yuan Wu
  • Patent number: 6747307
    Abstract: A combined transistor and capacitor structure comprising a transistor having alternating source and drain regions formed in a substrate of semiconductor material, and a capacitor formed over the transistor. The capacitor has at least first and second levels of electrically conductive parallel lines arranged in vertical rows, and at least one via connecting the first and second levels of lines in each of the rows, thereby forming a parallel array of vertical capacitor plates. A dielectric material is disposed between the vertical plates of the array. The vertical array of capacitor plates are electrically connected to the alternating source and drain regions of the transistor which form opposing nodes of the capacitor and electrically interdigitate the vertical array of capacitor plates.
    Type: Grant
    Filed: April 4, 2000
    Date of Patent: June 8, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Vickram Vathulya, Tirdad Sowlati
  • Publication number: 20040099898
    Abstract: A semiconductor device (10) is formed on a semiconductor substrate (12) whose surface (24) is formed with a trench (18). A capacitor (20) has a first plate (22) formed over the substrate surface with first and second portions lining first and second sidewalls (25) of the trench, respectively. A second plate (35, 38) is formed over the first plate and extends into the trench between the first and second portions.
    Type: Application
    Filed: November 27, 2002
    Publication date: May 27, 2004
    Applicant: Semiconductor Components Industries, LLC.
    Inventors: Gordon M. Grivna, Irene S. Wan, Sudhama C. Shastri
  • Publication number: 20040097034
    Abstract: A capacitor structure and method of forming it are described. In particular, a high-K dielectric oxide is provided as the capacitor dielectric. The high-K dielectric is deposited in a series of thin layers and oxidized in a series of oxidation steps, as opposed to a depositing a single thick layer. Further, at least one of the oxidation steps is less aggressive than the oxidation environment or environments that would be used to deposit the single thick layer. This allows greater control over oxidizing the dielectric and other components beyond the dielectric.
    Type: Application
    Filed: July 3, 2003
    Publication date: May 20, 2004
    Inventors: Gurtej S. Sandhu, Guy T. Blalock
  • Patent number: 6737696
    Abstract: A capacitor having a double sided electrode for enhanced capacitance. In one embodiment, the double sided electrode capacitor is a stacked container capacitor used in a dynamic random access memory circuit. The double sided electrode is preferably formed of a conductive metal, provided that an oxide of the metal is conductive. The double sided electrode capacitor provides a capacitor that has high storage capacitance which provides an increased efficiency for a cell without an increase in the size of the cell.
    Type: Grant
    Filed: June 3, 1998
    Date of Patent: May 18, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Scott J. DeBoer, Husam Al-Shareef, Randhir Thakur
  • Patent number: 6737699
    Abstract: An apparatus including a capacitor formed between metallization layers on a circuit, the capacitor including a bottom electrode coupled to a metal layer and a top electrode coupled to a metal via wherein the capacitor has a corrugated sidewall profile. A method including forming an interlayer dielectric including alternating layers of dissimilar dielectric materials in a multilayer stack over a metal layer of a device structure; forming a via having a corrugated sidewall; and forming a decoupling capacitor stack in the via that conforms to the sidewall of the via.
    Type: Grant
    Filed: June 27, 2002
    Date of Patent: May 18, 2004
    Assignee: Intel Corporation
    Inventors: Bruce A. Block, Richard Scott List
  • Patent number: 6734565
    Abstract: An integrated device having: a first conductive region; a second conductive region; an insulating layer arranged between the first and the second conductive region; at least one through opening extending in the insulating layer between the first and the second conductive region; and a contact structure formed in the through opening and electrically connecting the first conductive region and the second conductive region. The contact structure is formed by a conductive material layer that coats the side surface and the bottom of the through opening and surrounds an empty region which is closed at the top by the second conductive region. The conductive material layer preferably comprises a titanium layer and a titanium-nitride layer arranged on top of one another.
    Type: Grant
    Filed: April 18, 2002
    Date of Patent: May 11, 2004
    Assignee: STMicroelectronics S.r.l.
    Inventors: Raffaele Zambrano, Cesare Artoni, Chiara Corvasce
  • Patent number: 6730563
    Abstract: A rough polysilicon film located on the upper surface of an interlayer film is removed by a CMP process, so that storage nodes and an embedded TEOS film are formed. The embedded TEOS film is removed concurrently with the interlayer film located in a memory cell region by etching. An opening end of a groove, the upper surface of the embedded TEOS film and the upper surface of the interlayer film are arranged on substantially the same plane. In the memory cell region and a peripheral circuit region, a substantially flat interlayer insulation film is obtained. This solves the problems of a step, falling and the like in a semiconductor device including a capacitor element.
    Type: Grant
    Filed: February 13, 2003
    Date of Patent: May 4, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Akira Matsumura
  • Publication number: 20040079979
    Abstract: A method for manufacturing a trench capacitor that includes providing a semiconductor substrate, forming a deep trench in the substrate, forming a thin sacrificial layer on a surface of the trench, and forming a hemispherical silicon grain layer over the thin sacrificial layer, wherein the sacrificial layer has a thickness to act as an etch stop during a subsequent step to remove at least a portion of the hemispherical silicon grain layer, and is electrically conductive.
    Type: Application
    Filed: December 24, 2002
    Publication date: April 29, 2004
    Inventors: Yueh-Chuan Lee, Shih-Lung Chen
  • Patent number: 6727542
    Abstract: A semiconductor memory device and a method for manufacturing the same are provided.
    Type: Grant
    Filed: September 19, 2002
    Date of Patent: April 27, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-Nam Kim, Byung-Jun Park
  • Publication number: 20040075132
    Abstract: The present invention relates to a capacitor of a semiconductor memory cell and a method of manufacturing the same wherein a capacitor includes a first insulation layer having a buried contact hole, formed on a semiconductor substrate, and a buried contact plug filling a portion of the buried contact hole. A diffusion barrier spacer is formed on an inner surface of the buried contact hole above the buried contact plug. A second insulation layer is formed, having a through hole larger than the buried contact hole, for exposing the diffusion barrier spacer and a top surface of the contact plug. A barrier layer is formed on the through hole and a lower electrode is formed on the barrier layer. A dielectric layer is formed on the lower electrode and an upper surface of the second insulation layer and an upper electrode is formed on the dielectric layer.
    Type: Application
    Filed: October 9, 2003
    Publication date: April 22, 2004
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Kong-Soo Lee
  • Patent number: 6724033
    Abstract: A masking and etching technique during the formation of a memory cell capacitor which simultaneously separates storage poly into individual storage poly nodes and etches recesses into the storage poly nodes which increase the surface area of the storage poly nodes and thereby increase the capacitance of a completed memory cell without additional processing steps.
    Type: Grant
    Filed: January 20, 1999
    Date of Patent: April 20, 2004
    Inventors: Aaron Schoenfeld, Manny Kin F. Ma
  • Publication number: 20040070019
    Abstract: The effective area of a MIM capacitor is increased by forming a lower electrode that includes hemispherical grain lumps. The hemispherical grain lumps are formed by heat-treating a metal layer in an oxygen and/or nitrogen atmosphere, thus oxidizing the surface of the metal layer or growing the crystal grains of the metal layer. The MIM capacitor may be formed of Pt, Ru, Rh, Os, Ir, or Pd, and the hemispherical grain lumps may be formed of Pt, Ru, Rh, Os, Ir, or Pd. Since the metal layer is primarily heat-treated during the formation of the lower electrode, it is possible to reduce the degree to which the surface morphology of the lower electrode is rapidly changed due to a heat treatment subsequent to forming a dielectric layer and an upper electrode.
    Type: Application
    Filed: November 17, 2003
    Publication date: April 15, 2004
    Inventors: Jae-Hyun Joo, Wan-Don Kim, Seok-Jun Won, Soon-Yeon Park
  • Patent number: 6720609
    Abstract: An intermediate metal plug is used to raise the platform to which contact is to be made. In the illustrated process, a partial bit line plug is formed adjacent a stacked capacitor, and an interlevel dielectric formed over the capacitor. The bit line contact is completed by extending a via from the bit line, formed above the interlevel dielectric, down to the level of the intermediate plug, and the via is filled with metal. The height of the via to be filled is thus reduced by the height of the intermediate plug. In one embodiment, the intermediate plug is slightly shorter than an adjacent container-shaped capacitor. In another embodiment, the intermediate plug is about as high as an adjacent stud capacitor.
    Type: Grant
    Filed: September 4, 2002
    Date of Patent: April 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Scott J. Deboer, Vishnu K. Agarwal
  • Patent number: 6717202
    Abstract: A first silicon film is so formed as to extend along the inner surface of trenches 52 formed in a silicon oxide film 50, an oxide film is formed on the surface of the first silicon film, and a second amorphous silicon film is further deposited. Heat-treatment is applied to the surface of the second amorphous silicon film for seeding silicon nuclei and for promoting grain growth, and a granular silicon crystal 57 is grown from the second amorphous silicon film. In this way, the resistance of a lower electrode 59 of a capacitance device can be lowered.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: April 6, 2004
    Assignees: Renesas Technology Corp., Hitachi ULSI Systems Co., Ltd.
    Inventors: Yasuhiro Sugawara, Ryouichi Furukawa, Toshio Uemura, Akira Takamatsu, Hirohiko Yamamoto, Tadanori Yoshida, Masayuki Ishizaka, Shinpei Iljima, Yuzuru Ohji
  • Publication number: 20040061162
    Abstract: A semiconductor memory device includes a bit line stack and a storage node contact hole which are aligned at bit line spacers formed at both side walls of the bit line stack and exposes a pad. The semiconductor memory device includes a multi-layered storage node contact plug in which a first storage node contact plug and a second storage node contact plug are sequentially formed. The first storage node contact plug is formed of titanium nitride and the second storage node contact plug is formed of polysilicon. An ohmic layer may be formed on the pad and under the first storage node contact plug. A barrier metal layer, which acts as a third storage node contact plug, may be formed on the second storage node contact plug.
    Type: Application
    Filed: October 16, 2003
    Publication date: April 1, 2004
    Inventors: Beom-Jun Jin, Byeong-Yun Nam
  • Patent number: 6713805
    Abstract: A plurality of capacitors of which the sidewalls, that are storage nodes, extend in the vertical direction are aligned in the horizontal direction. Storage node has a rectangular form made of longer sides and shorter sides in the plan view. A long side of storage node extends, in the plan view, in the direction in which a line extends connecting a first storage node contact and a second storage node contact that is positioned diagonally adjacent to first storage node contact. According to the invention, the capacitance of a memory capacitor is increased.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: March 30, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Takaaki Tsunomura, Yoshinori Tanaka
  • Patent number: 6713806
    Abstract: This is related to a method for the manufacture of a capacitor with wing extensions and the capacitor device. The method comprises: (1) causing multiple contact areas to be disposed in alternate positions, such that two adjacent contact areas are complements of each other, (2) depositing electroplating base material (EBM) over the contact area, (3) electroplating a conductive material on the sidewalls of the EBM slab to form plate electrode; and then (4) etching back the EBM leaving only the electrode portion. The capacitor formed by the above method has a larger surface area on the electrode compared with that made by the conventional method, and the cell capacitance is also better. This method is especially effective for the manufacture of high-density memory device.
    Type: Grant
    Filed: April 26, 2002
    Date of Patent: March 30, 2004
    Assignee: Winbond Electronics Corp.
    Inventors: Jong-Bor Wang, Chii-Ming Shiah
  • Patent number: 6710392
    Abstract: A semiconductor memory device includes a conductive layer filling a contact hole, a bottom electrode having a depression and electrically connected to the conductive layer, a dielectric film formed on the bottom electrode along the depression, and a top electrode formed on the dielectric film. The conductive layer and the dielectric film directly contact each other at a top surface of the conductive layer. The conductive layer contains polycrystalline silicon and dopant having a relatively low concentration and the bottom electrode contains polycrystalline silicon and dopant having a relatively high concentration. The semiconductor memory device can thus have a capacitor small in size and still sufficiently large in capacitance.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: March 23, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Eiji Hasunuma
  • Patent number: 6710390
    Abstract: The invention includes a number of methods and structures pertaining to semiconductor circuit technology, including: methods of forming DRAM memory cell constructions; methods of forming capacitor constructions; DRAM memory cell constructions; capacitor constructions; and monolithic integrated circuitry. The invention includes a method of forming a capacitor comprising the following steps: a) forming a mass of silicon material over a node location, the mass comprising exposed doped silicon and exposed undoped silicon; b) substantially selectively forming rugged polysilicon from the exposed undoped silicon and not from the exposed doped silicon; and c) forming a capacitor dielectric layer and a complementary capacitor plate proximate the rugged polysilicon and doped silicon.
    Type: Grant
    Filed: March 3, 1999
    Date of Patent: March 23, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kunal R. Parekh, John K. Zahurak, Phillip G. Wald
  • Patent number: 6707086
    Abstract: In accordance with the present invention, a method for forming a crystalline silicon nitride layer, includes the steps of providing a crystalline silicon substrate with an exposed surface, precleaning the exposed surface by employing a hydrogen prebake and exposing the exposed surface to nitrogen to form a crystalline silicon nitride layer. Also, a trench capacitor, in accordance with the present invention, includes a crystalline silicon substrate including deep trenches having surface substantially free of native oxide. A dielectric stack, including a crystalline silicon nitride layer, is formed on the sidewalls of the trenches. The dielectric stack forms a node dielectric between electrodes of the trench capacitor.
    Type: Grant
    Filed: June 15, 2000
    Date of Patent: March 16, 2004
    Assignees: Infineon Technologies AG, International Business Machines Corp.
    Inventors: Rajarao Jammy, Philip L. Flaitz, Philip E. Batson, Hua Shen, Yun Yu Wang
  • Patent number: 6707096
    Abstract: A masking and etching technique during the formation of a memory cell capacitor which simultaneously separates storage poly into individual storage poly nodes and etches recesses into the storage poly nodes which increase the surface area of the storage poly nodes and thereby increase the capacitance of a completed memory cell without additional processing steps.
    Type: Grant
    Filed: January 3, 2002
    Date of Patent: March 16, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Aaron Schoenfeld, Manny Kin F. Ma
  • Publication number: 20040046195
    Abstract: In a peripheral circuit region of a DRAM, two connection holes 17a, 17b for connecting a first layer line 14 and a second layer line 26 electrically are opened separately in two processes. After forming the connection holes 17a and 17b, plugs 18a and 215a are formed in the connection holes 17a and 17b, respectively.
    Type: Application
    Filed: August 29, 2002
    Publication date: March 11, 2004
    Inventors: Yoshitaka Nakamura, Isamu Asano, Keizou Kawakita, Satoru Yamada
  • Patent number: 6699745
    Abstract: A rugged polysilicon electrode for a capacitor has high surface area enhancement with a thin layer by high nucleation density plus gas phase doping which also enhances grain shape and oxygen-free dielectric formation.
    Type: Grant
    Filed: March 27, 1998
    Date of Patent: March 2, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Aditi Banerjee, Rick L. Wise, Darius L. Crenshaw
  • Patent number: 6700168
    Abstract: A layout structure of column pass transistors of a semiconductor memory device, in which the area occupied with the transistors is reduced. Thus, in spite of high integration of the semiconductor memory device and miniaturization of memory cells, column path transistors can be arranged efficiently. In the aforementioned layout structure, the active regions of the column path transistors are longitudinally in perpendicular to the bit line pairs to reduce the area occupied with the total number of memory cells.
    Type: Grant
    Filed: March 7, 2001
    Date of Patent: March 2, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Hyang-Ja Yang
  • Patent number: 6700152
    Abstract: The new structure of a memory cell which enables avoiding the problem of a step without increasing the number of processes, the structure of a semiconductor integrated circuit in which a common part of the same substrate in a manufacturing process is increased and the structure of the semiconductor integrated circuit which allows measures for environment obstacles without increasing the number of processes are disclosed. Memory cell structure in which a capacitor is formed in the uppermost layer of plural metal wiring layers by connecting the storage node of the capacitor to a diffusion layer via plugs and pads is adopted. It is desirable that a dielectric film formed in a metal wiring layer under the uppermost layer and a supplementary capacitor composed of a storage node and a plate electrode are connected to the capacitor. It is also desirable that the plate electrode of the capacitor covers the chip.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: March 2, 2004
    Assignee: Hitachi, Ltd.
    Inventors: Takuya Fukuda, Nobuyoshi Kobayashi, Yoshitaka Nakamura, Masayoshi Saito, Shinichi Fukada, Yoshifumi Kawamoto
  • Patent number: 6700153
    Abstract: An etch stop layer is formed over a surface of an interlayer insulating layer and over a surface of a conductive plug extending at a depth from the surface of the interlayer insulating layer. A lower mold layer is deposited over the etch stop layer, and a wet etch rate of the lower mold layer is adjusted by adding dopants to the lower mold layer during formation of the lower mold layer, and by annealing the lower mold layer. An upper mold layer is then deposited over the surface of the lower mold layer, such that a wet etch rate of the upper mold layer is less than the adjusted wet etch rate of the lower mold layer. The upper mold layer, the lower mold layer and the etch stop layer are then subjected to dry etching to form an opening therein which exposes at least a portion of the surface of the contact plug.
    Type: Grant
    Filed: May 2, 2002
    Date of Patent: March 2, 2004
    Assignee: Samsung Electronics Co. Ltd.
    Inventors: Jung-Hwan Oh, Ki-Hyun Hwang, Jae-Young Park, In-Seak Hwang, Young-Wook Park
  • Patent number: RE38565
    Abstract: A ferroelectric thin film capacitor has smooth electrodes permitting comparatively stronger polarization, less fatigue, and less imprint, as the ferroelectric capacitor ages. The smooth electrode surfaces are produced by carefully controlled drying, soft baking, and annealing conditions.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: August 17, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shinichiro Hayashi, Tatsuo Otsuki