Composite Or Layered Gate Insulator (e.g., Mixture Such As Silicon Oxynitride) Patents (Class 257/411)
  • Publication number: 20130088283
    Abstract: Type-switching transistors, electronic devices including the same, and methods of operating thereof are provided. A type-switching transistor may include a plurality of gates corresponding to a channel layer. The plurality of gates may include a first gate for switching a type of the transistor and a second gate for controlling ON/OFF characteristics of the channel layer. The first and second gates may be disposed on one side of the channel layer so that the channel layer is not disposed between the first and second gates.
    Type: Application
    Filed: April 18, 2012
    Publication date: April 11, 2013
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Eun-hong LEE
  • Patent number: 8415000
    Abstract: Stabilized precursor solutions can be used to form radiation inorganic coating materials. The precursor solutions generally comprise metal suboxide cations, peroxide-based ligands and polyatomic anions. Design of the precursor solutions can be performed to achieve a high level of stability of the precursor solutions. The resulting coating materials can be designed for patterning with a selected radiation, such as ultraviolet light, x-ray radiation or electron beam radiation. The radiation patterned coating material can have a high contrast with respect to material properties, such that development of a latent image can be successful to form lines with very low line-width roughness and adjacent structures with a very small pitch.
    Type: Grant
    Filed: October 28, 2011
    Date of Patent: April 9, 2013
    Assignee: Inpria Corporation
    Inventors: Jason K. Stowers, Alan J. Telecky, Douglas A. Keszler, Andrew Grenville
  • Publication number: 20130082746
    Abstract: A transistor includes a substrate and an electrically conductive material layer stack positioned on the substrate. The electrically conductive material layer stack includes a reentrant profile. A first electrically insulating material layer positioned is in contact with a first portion of the electrically conductive material layer stack. A second electrically insulating material layer is conformally positioned in contact with the first electrically insulating layer, and conformally positioned in contact with a second portion of the electrically conductive material layer stack, and conformally positioned in contact with at least a portion of the substrate.
    Type: Application
    Filed: September 29, 2011
    Publication date: April 4, 2013
    Inventors: Shelby F. Nelson, Lee W. Tutt
  • Patent number: 8410542
    Abstract: Nonvolatile memory devices include a tunnel insulating layer on a substrate and a charge storing layer on the tunnel insulating layer. A charge transfer blocking layer is provided on the charge storing layer. The charge transfer blocking layer is formed as a composite of multiple layers, which include a first oxide layer having a thickness of about 1 ? to about 10 ?. This first oxide layer is formed directly on the charge storing layer. The charge transfer blocking layer includes a first dielectric layer on the first oxide layer. The charge transfer blocking layer also includes a second oxide layer on the first dielectric layer and a second dielectric layer on the second oxide layer. The first and second dielectric layers have a higher dielectric constant relative to the first and second oxide layers, respectively. The memory cell includes an electrically conductive electrode on the charge transfer blocking layer.
    Type: Grant
    Filed: November 2, 2010
    Date of Patent: April 2, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Chul Yoo, Byong-Ju Kim, Han-Mei Choi, Ki-Hyun Hwang
  • Patent number: 8410559
    Abstract: A shallow trench isolation structure is formed in a semiconductor substrate adjacent to an active semiconductor region. A selective self-assembling oxygen barrier layer is formed on the surface of the shallow trench isolation structure that includes a dielectric oxide material. The formation of the selective self-assembling oxygen barrier layer is selective in that it is not formed on the surface the active semiconductor region having a semiconductor surface. The selective self-assembling oxygen barrier layer is a self-assembled monomer layer of a chemical which is a derivative of alkylsilanes including at least one alkylene moiety. The silicon containing portion of the chemical forms polysiloxane, which is bonded to surface silanol groups via Si—O—Si bonds. The monolayer of the chemical is the selective self-assembling oxygen barrier layer that prevents diffusion of oxygen to a high dielectric constant material layer that is subsequently deposited as a gate dielectric.
    Type: Grant
    Filed: March 19, 2009
    Date of Patent: April 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Zhengwen Li, Antonio L. P. Rotondaro, Mark R. Visokay
  • Publication number: 20130075833
    Abstract: A multi-layer scavenging metal gate stack, and methods of manufacturing the same, are disclosed. In an example, a gate stack disposed over a semiconductor substrate includes an interfacial dielectric layer disposed over the semiconductor substrate, a high-k dielectric layer disposed over the interfacial dielectric layer, a first conductive layer disposed over the high-k dielectric layer, and a second conductive layer disposed over the first conductive layer. The first conductive layer includes a first metal layer disposed over the high-k dielectric layer, a second metal layer disposed over the first metal layer, and a third metal layer disposed over the second metal layer. The first metal layer includes a material that scavenges oxygen impurities from the interfacial dielectric layer, and the second metal layer includes a material that adsorbs oxygen impurities from the third metal layer and prevents oxygen impurities from diffusing into the first metal layer.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kuan-Ting Liu, Liang-Gi Yao, Yasutoshi Okuno, Clement Hsingjen Wann
  • Patent number: 8404575
    Abstract: A semiconductor device of the present invention includes: a semiconductor layer; a gate insulation film provided on the semiconductor layer and including at least one of Hf and Zr; and a gate electrode provided on the gate insulation film and including a carbonitride which includes at least one of Hf and Zr.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: March 26, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akio Kaneko, Seiji Inumiya
  • Patent number: 8405149
    Abstract: A semiconductor integrated circuit having a high withstand voltage TFT and a TFT which is capable of operating at high speed in a circuit of thin film transistors (TFT) and methods for fabricating such circuit will be provided. A gate insulating film of the TFT required to operate at high speed (e.g., TFT used for a logic circuit) is relatively thinned less than a gate insulating film of the TFT which is required to have high withstand voltage (e.g., TFT used for switching high voltage signals).
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: March 26, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Hisashi Ohtani
  • Publication number: 20130069175
    Abstract: A semiconductor device includes a compound semiconductor multilayer structure, a fluorine-containing barrier film that covers a surface of the compound semiconductor multilayer structure, and a gate electrode that is arranged over the compound semiconductor multilayer structure with the fluorine-containing barrier film placed the gate and the compound semiconductor multilayer structure.
    Type: Application
    Filed: August 29, 2012
    Publication date: March 21, 2013
    Applicant: FUJITSU LIMITED
    Inventors: Shirou OZAKI, Masayuki Takeda
  • Patent number: 8399332
    Abstract: Methods and devices for a dielectric are provided. One method embodiment includes forming a passivation layer on a substrate, wherein the passivation layer contains a composition of silicon, oxygen, and nitrogen. The method also includes forming a lanthanide dielectric film on the passivation layer, and forming an encapsulation layer on the lanthanide dielectric film.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: March 19, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Arup Bhattacharyya
  • Publication number: 20130062709
    Abstract: Disposable gate structures are formed on a semiconductor substrate. A planarization dielectric layer is deposited over the disposable gate structures and planarized to provide a top surface that is coplanar with top surface of the disposable gate structures. The planarization dielectric layer at this point includes gap-fill keyholes between narrowly spaced disposable gate structures. A printable dielectric layer is deposited over the planarization dielectric layer to fill the gap-fill keyholes. Areas of the printable dielectric layer over the gap-fill keyholes are illuminated with radiation that cross-links cross-linkable bonds in the material of the printable dielectric layer. Non-crosslinked portions of the printable dielectric layer are subsequently removed selective to crosslinked portions of the printable dielectric layer, which fills at least the upper portion of each gate-fill keyhole. The disposable gate structures are removed to form gate cavities.
    Type: Application
    Filed: September 14, 2011
    Publication date: March 14, 2013
    Applicant: International Business Machines Corporation
    Inventors: Paul Chang, Josephine B. Chang, Michael A. Guillorn, Jeffrey W. Sleight
  • Patent number: 8395225
    Abstract: A semiconductor device 1 includes: a base 2 mainly formed of a semiconductor material; a gate electrode 5; and a gate insulating film 3 provided between the base 2 and the gate electrode 5. The gate insulating film 3 is formed of an insulative inorganic material containing silicon, oxygen and element X other than silicon and oxygen as a main material. The gate insulating film 3 is provided in contact with the base 2, and contains hydrogen atoms. The gate insulating film 3 has a region where A and B satisfy the relation: B/A is 10 or less in the case where the total concentration of the element X in the region is defined as A and the total concentration of hydrogen in the region is defined as B. Further, the region is at least apart of the gate insulating film 3 in the thickness direction thereof.
    Type: Grant
    Filed: March 26, 2012
    Date of Patent: March 12, 2013
    Assignee: Seiko Epson Corporation
    Inventor: Masayasu Miyata
  • Publication number: 20130056833
    Abstract: A semiconductor device includes: a first field-effect transistor of a first conductivity type formed on a first active region of a semiconductor substrate. The first field-effect transistor includes a first gate insulating film formed on the first active region, and a first gate electrode formed on the first gate insulating film. The first gate electrode includes a first metal electrode formed on the first gate insulating film, a first interface layer formed on the first metal electrode, and a first silicon electrode formed on the first interface layer.
    Type: Application
    Filed: November 1, 2012
    Publication date: March 7, 2013
    Applicant: PANASONIC CORPORATION
    Inventor: PANASONIC CORPORATION
  • Patent number: 8390082
    Abstract: A method of manufacturing a semiconductor device and a novel semiconductor device are disclosed herein. An exemplary method includes sputtering a capping layer in-situ on a gate dielectric layer, before any high temperature processing steps are performed.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: March 5, 2013
    Assignee: Intel Corporation
    Inventors: Gilbert Dewey, Mark L. Doczy, Suman Datta, Justin K. Brask, Matthew V. Metz
  • Publication number: 20130049140
    Abstract: Variation resistant metal-oxide-semiconductor field effect transistors (MOSFETs) are manufactured using a high-K, metal-gate ‘channel-last’ process. A cavity is formed between spacers formed over a well area having separate drain and source areas, and then a recess into the well area is formed. The active region is formed in the recess, comprising an optional narrow highly doped layer, essentially a buried epitaxial layer, over which a second un-doped or lightly doped layer is formed which is a channel epitaxial layer. The high doping beneath the low doped epitaxial layer can be achieved utilizing low-temperature epitaxial growth with single or multiple delta doping, or slab doping. A high-K dielectric stack is formed over the channel epitaxial layer, over which a metal gate is formed within the cavity boundaries. In one embodiment of the invention a cap of poly-silicon or amorphous silicon is added on top of the metal gate.
    Type: Application
    Filed: March 20, 2012
    Publication date: February 28, 2013
    Applicant: GOLD STANDARD SIMULATIONS LTD.
    Inventors: Asen Asenov, Gareth Roy
  • Patent number: 8384159
    Abstract: A semiconductor device is disclosed that includes: a substrate; a first dielectric layer formed over the substrate and formed of a first high-k material, the first high-k material selected from the group consisting of HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfTiTaO, HfAlON, and HfZrO; a second dielectric layer formed over the first dielectric layer and formed of a second high-k material, the second high-k material being different than the first high-k material and selected from the group consisting of HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfTiTaO, HfAlON, and HfZrO; and a metal gate formed over the second dielectric layer. The first dielectric layer includes ions selected from the group consisting of N, O, and Si.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: February 26, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fong-Yu Yen, Cheng-Lung Hung, Peng-Fu Hsu, Vencent S. Chang, Yong-Tian Hou, Jin Ying, Hun-Jan Tao
  • Publication number: 20130043545
    Abstract: The disclosure relates to integrated circuit fabrication and, more particularly, to a semiconductor device with a high-k gate dielectric layer. An exemplary structure for a semiconductor device comprises a substrate and a gate structure disposed over the substrate. The gate structure comprises a dielectric portion and an electrode portion that is disposed over the dielectric portion, and the dielectric portion comprises a carbon-doped high-k dielectric layer on the substrate and a carbon-free high-k dielectric layer adjacent to the electrode portion.
    Type: Application
    Filed: August 19, 2011
    Publication date: February 21, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Kun-Yu LEE, Liang-Gi YAO, Yasutoshi OKUNO, Clement Hsingjen WANN
  • Patent number: 8377793
    Abstract: A method of manufacturing a non-volatile memory device, including providing at least one control gate layer on a substrate. A passage may be created between the at least one control gate layer and the substrate. In the passage at least one filling layer may be provided. A floating gate structure including the filling layer may be formed, as well as a control gate structure including the at least one control gate layer, the control gate structure being in a stacked configuration with the floating gate structure.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: February 19, 2013
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Marius Orlowski
  • Patent number: 8378430
    Abstract: Transistors are provided including first and second source/drain regions, a channel region and a gate stack having a first gate dielectric over a substrate, the first gate dielectric having a dielectric constant higher than a dielectric constant of silicon dioxide, and a metal material in contact with the first gate dielectric, the metal material being doped with an inert element. Integrated circuits including the transistors and methods of forming the transistors are also provided.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 19, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Cancheepuram V. Srividya, Suraj Mathew, Dan Gealy
  • Publication number: 20130037889
    Abstract: A fabricating method of semiconductor structure is provided. First, a substrate with a dielectric layer formed thereon is provided. The dielectric layer has a first opening and a second opening exposing a portion of the substrate. Further, a gate dielectric layer including a high-k dielectric layer and a barrier layer stacked thereon had been formed on the bottoms of the first opening and the second opening. Next, a sacrificial layer is formed on the portion of the gate dielectric layer within the second opening. Next, a first work function metal layer is formed to cover the portion of the gate dielectric layer within the first opening and the sacrificial layer. Then, the portion of the first work function metal layer and the sacrificial layer within the second opening are removed.
    Type: Application
    Filed: August 8, 2011
    Publication date: February 14, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Duan-Quan LIAO, Yi-Kun Chen, Xiao-Zhong Zhu
  • Publication number: 20130037890
    Abstract: The present disclosure provides for multiple gate dielectric semiconductor structures and methods of forming such structures. In one embodiment, a method of forming a semiconductor structure includes providing a substrate including a pixel array region, an input/output (I/O) region, and a core region. The method further includes forming a first gate dielectric layer over the pixel array region, forming a second gate dielectric layer over the I/O region, and forming a third gate dielectric layer over the core region, wherein the first gate dielectric layer, the second gate dielectric layer, and the third gate dielectric layer are each formed to be comprised of a different material and to have a different thickness.
    Type: Application
    Filed: August 11, 2011
    Publication date: February 14, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Hsiao-Hui Tseng, Dun-Nian Yaung, Jen-Cheng Liu, Wen-I Hsu, Min-Feng Kao
  • Patent number: 8373158
    Abstract: Disclosed is a surface modifying agent including a compound having an ethynyl group at one terminal end, a laminated structure manufactured using the surface modifying agent, a method of manufacturing the laminated structure, and a transistor including the same.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: February 12, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jeong-il Park, Byung-wook Yoo, Do-hwan Kim, Sang-yoon Lee, Bang-lin Lee, Eun-jeong Jeong
  • Publication number: 20130032900
    Abstract: Buffer layer and method of forming the buffer layer, the method including forming a high-k dielectric layer, forming a titanium nitride layer over the high-k dielectric layer, forming a silicon layer on the titanium nitride layer, annealing the silicon layer into the titanium nitride layer to form an annealed silicon layer and forming an n-metal over the high-k dielectric layer.
    Type: Application
    Filed: August 1, 2011
    Publication date: February 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-Hao HOU, Wei-Yang LEE, Xiong-Fei YU, Kuang-Yuan HSU
  • Patent number: 8368052
    Abstract: Techniques are disclosed for providing a low resistance self-aligned contacts to devices formed in a semiconductor heterostructure. The techniques can be used, for example, for forming contacts to the gate, source and drain regions of a quantum well transistor fabricated in III-V and SiGe/Ge material systems. Unlike conventional contact process flows which result in a relatively large space between the source/drain contacts to gate, the resulting source and drain contacts provided by the techniques described herein are self-aligned, in that each contact is aligned to the gate electrode and isolated therefrom via spacer material.
    Type: Grant
    Filed: December 23, 2009
    Date of Patent: February 5, 2013
    Assignee: Intel Corporation
    Inventors: Ravi Pillarisetty, Benjamin Chu-Kung, Mantu K. Hudait, Marko Radosavljevic, Jack T. Kavalieros, Willy Rachmady, Niloy Mukherjee, Robert S. Chau
  • Patent number: 8368221
    Abstract: By forming the first metallization layer of a semiconductor device as a dual damascene structure, the contact elements may be formed on the basis of a significantly reduced aspect ratio, thereby enhancing process robustness and also improving electrical performance of the contact structure.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: February 5, 2013
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Frank Feustel, Kai Frohberg, Thomas Werner
  • Patent number: 8362543
    Abstract: A nonvolatile semiconductor device and method having a plurality of series-connected memory cells with floating and control gate electrodes, and a first insulating layer formed between the gate electrodes. One of the memory cells has the floating gate formed to contact the control gate electrode through an aperture in the insulating layer. The insulating layer is removed to form spaces between the gate electrodes. A second insulating film is formed in the spaces between the gate electrodes. The dummy electrode supports the series of gate electrodes to maintain the spaces between the electrodes. The second insulating layer is formed to be continuous in the spaces and on side surfaces of the gate electrodes. The second insulating layer may have a stacked structure with n layers in the spaces and (n?1)/2 layers on the side surfaces.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: January 29, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mutsuo Morikado
  • Patent number: 8362522
    Abstract: In a semiconductor film having a heterojunction structure, for example a semiconductor film including a SiGe layer and a Si layer formed on the SiGe layer, impurity concentration is controlled in such a manner that the concentration of impurity in the lower, SiGe layer becomes higher than that in the upper, Si layer by exploiting the fact that there is a difference between the SiGe layer and the Si layer in the diffusion coefficient of the impurity. The impurity contained in the semiconductor film 11 is of the conductivity type opposite to that of the transistor (p-type in the case of an n-type MOS transistor whereas n-type in the case of a p-type MOS transistor). In this way, the mobility in a semiconductor device including a semiconductor film having a heterojunction structure with a compression strain structure is increased, thereby improving the transistor characteristics and reliability of the device.
    Type: Grant
    Filed: September 23, 2011
    Date of Patent: January 29, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventor: Masashi Shima
  • Publication number: 20130020657
    Abstract: A method for manufacturing a MOS transistor is provided. A substrate has a high-k dielectric layer and a barrier in each of a first opening and a second opening formed by removing a dummy gate and located in a first transistor region and a second transistor region. A dielectric barrier layer is formed on the substrate and filled into the first opening and the second opening to cover the barrier layers. A portion of the dielectric barrier in the first transistor region is removed. A first work function metal layer is formed. The first work function metal layer and a portion of the dielectric barrier layer in the second transistor region are removed. A second work function metal layer is formed. The method can avoid a loss of the high-k dielectric layer to maintain the reliability of a gate structure, thereby improving the performance of the MOS transistor.
    Type: Application
    Filed: July 22, 2011
    Publication date: January 24, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Tsuo-Wen LU, Tzung-Ying Lee, Jei-Ming Chen, Chun-Wei Hsu, Yu-Min Lin, Chia-Lung Chang, Chin-Cheng Chien, Shu-Yen Chan
  • Patent number: 8354704
    Abstract: A method of processing a flash memory device provides a semiconductor substrate including a surface region and forming a gate dielectric layer overlying the surface region. The method forms a floating gate layer having a thickness and including a first floating gate structure overlying a first portion of the gate dielectric layer and a second floating gate structure overlying a second portion of the gate dielectric layer. The method forms a trench region interposed between the first and second floating gate structures and extending through the entire thickness and through a portion of the surface region into a depth of the substrate. The method fills the entire depth of the trench region in the substrate and a portion of the trench region over the substrate using a dielectric fill material. The method forms an oxide on nitride on oxide (ONO) layer overlying the first and second floating gate structures and the dielectric material and a control gate overlying the ONO layer.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: January 15, 2013
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventors: Li Jiang, Hong Xiu Peng, Jong Woo Kim
  • Publication number: 20130009257
    Abstract: A disposable gate structure and a gate spacer are formed on a semiconductor substrate. A disposable gate material portion is removed and a high dielectric constant (high-k) gate dielectric layer and a metal nitride layer are formed in a gate cavity and over a planarization dielectric layer. The exposed surface portion of the metal nitride layer is converted into a metal oxynitride by a surface oxidation process that employs exposure to ozonated water or an oxidant-including solution. A conductive gate fill material is deposited in the gate cavity and planarized to provide a metal gate structure. Oxygen in the metal oxynitride diffuses, during a subsequent anneal process, into a high-k gate dielectric underneath to lower and stabilize the work function of the metal gate without significant change in the effective oxide thickness (EOT) of the high-k gate dielectric.
    Type: Application
    Filed: July 7, 2011
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Takashi Ando, Vijay Narayanan
  • Publication number: 20130001708
    Abstract: A MOS transistor having a gate insulator including a dielectric of high permittivity and a conductive layer including a TiN layer, wherein the nitrogen composition in the TiN layer is sub-stoichiometric in its lower portion and progressively increases to a stoichiometric composition in its upper portion.
    Type: Application
    Filed: June 22, 2012
    Publication date: January 3, 2013
    Inventors: Pierre Caubet, Sylvain Baudot
  • Patent number: 8344444
    Abstract: To provide a technique capable of improving reliability of a semiconductor device having a nonvolatile memory cell by suppressing the reduction of the drive force. A memory cell is configured by a selection pMIS having a selection gate electrode including a conductive film exhibiting a p-type conductivity and a memory pMIS having a memory gate electrode including a conductive film exhibiting a p-type conductivity, and at the time of write, hot electrons are injected into a charge storage layer from the side of a semiconductor substrate 1 and at the time of erase, hot holes are injected into the charge storage layer from the memory gate electrode.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: January 1, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Yoshiyuki Kawashima, Keiichi Haraguchi
  • Publication number: 20120326246
    Abstract: A semiconductor device capable of improving the driving power and a manufacturing method therefor are provided. In a semiconductor device, a gate structure formed by successively stacking a gate oxide film and a silicon layer is arranged over a semiconductor substrate. An oxide film is arranged long the lateral side of the gate structure and another oxide film is arranged along the lateral side of the oxide film and the upper surface of the substrate. In the side wall oxide film comprising these oxide films, the minimum value of the thickness of the first layer along the lateral side of the gate structure is less than the thickness of the second layer along the upper surface of the substrate.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 27, 2012
    Inventors: Toshifumi Iwasaki, Yoshihiko Kusakabe
  • Publication number: 20120326245
    Abstract: A method of forming a transistor device includes forming an interfacial layer on a semiconductor substrate, corresponding to a region between formed doped source and drain regions in the substrate; forming a high dielectric constant (high-k) layer on the interfacial layer, the high-k layer having a dielectric constant greater than about 7.5; forming a doped metal layer on the high-k layer; performing a thermal process so as to cause the doped metal layer to scavenge oxygen atoms diffused from the interfacial layer such that a final thickness of the interfacial layer is less than about 5 angstroms (?); and forming a metal gate material over the high-k dielectric layer.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 27, 2012
    Applicant: International Business Machines Corporation
    Inventors: Takashi Ando, Vijay Narayanan
  • Publication number: 20120319216
    Abstract: A semiconductor device having reduced leakage current and increased capacitance without increasing an equivalent oxide thickness (EOT) can be manufactured by a method that includes providing a substrate having a dummy gate pattern; forming a gate forming trench by removing the dummy gate pattern; forming a stacked insulation layer within the gate forming trench, wherein the forming of the stacked insulation layer includes forming a first high-k dielectric layer, forming a second high-k dielectric layer by performing heat treatment on the first high-k dielectric layer, and, after the heat treatment, forming a third high-k dielectric layer on the second high-k dielectric layer, the third high-k dielectric layer having a higher relative permittivity than the second high-k dielectric layer and having a dielectric constant of 40 or higher; and forming a gate electrode within the gate forming trench.
    Type: Application
    Filed: June 14, 2012
    Publication date: December 20, 2012
    Inventors: Jae-Yeol Song, Jeong-Hee Han, Sang-Jin Hyun, Hyeok-Jun Son, Sung-Kee Han
  • Publication number: 20120313186
    Abstract: A polysilicon gate structure includes a substrate, a silicon dioxide layer disposed over the substrate, a nitrogen-doped high-k dielectric layer disposed over the silicon dioxide layer, and a polysilicon gate disposed over the nitrogen-doped high-k dielectric layer.
    Type: Application
    Filed: June 8, 2011
    Publication date: December 13, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ching-Chien HUANG, Ying-Han CHIOU, Ling-Sung WANG
  • Patent number: 8330228
    Abstract: A Ge and Si hybrid material inversion mode GAA (Gate-All-Around) CMOSFET includes a PMOS region having a first channel, an NMOS region having a second channel and a gate region. The first channel and the second channel have a circular-shaped cross section and are formed of n-type Ge and p-type Si, respectively; the surfaces of the first channel and the second channel are substantially surrounded by the gate region; a buried oxide layer is disposed between the PMOS region and the NMOS region and between the PMOS or NMOS region and the Si substrate to isolate them from one another. In an inversion mode, current flows through the overall cylindrical channel, so as to achieve high carrier mobility, reduce low-frequency noises, prevent polysilicon gate depletion and short channel effects and increase the threshold voltage of the device.
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: December 11, 2012
    Assignee: Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences
    Inventors: Deyuan Xiao, Xi Wang, Miao Zhang, Jing Chen, Zhongying Xue
  • Patent number: 8330165
    Abstract: In fabricating a thin film transistor, an active layer comprising a silicon semiconductor is formed on a substrate having an insulating surface. Hydrogen is introduced into The active layer. A thin film comprising SiOxNy is formed to cover the active layer and then a gate insulating film comprising a silicon oxide film formed on the thin film comprising SiOxNy. Also, a thin film comprising SiOxNy is formed under the active layer. The active layer includes a metal element at a concentration of 1×1015 to 1×1019 cm?3 and hydrogen at a concentration of 2×1019 to 5×1021 cm?3.
    Type: Grant
    Filed: June 12, 2009
    Date of Patent: December 11, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Satoshi Teramoto
  • Publication number: 20120306028
    Abstract: A semiconductor process is provided, including: a substrate is provided, a buffer layer is formed, and a dielectric layer having a high dielectric constant is formed, wherein the methods of forming the buffer layer include: (1) an oxidation process is performed; and a baking process is performed; Alternatively, (2) an oxidation process is performed; a thermal nitridation process is performed; and a plasma nitridation process is performed; Or, (3) a decoupled plasma oxidation process is performed. Furthermore, a semiconductor structure fabricated by the last process is also provided.
    Type: Application
    Filed: May 30, 2011
    Publication date: December 6, 2012
    Inventors: Yu-Ren Wang, Te-Lin Sun, Szu-Hao Lai, Po-Chun Chen, Chih-Hsun Lin, Che-Nan Tsai, Chun-Ling Lin, Chiu-Hsien Yeh, Chien-Liang Lin, Shao-Wei Wang, Ying-Wei Yen
  • Publication number: 20120299124
    Abstract: A method for forming a gate structure includes the following steps. A substrate is provided. A silicon oxide layer is formed on the substrate. A decoupled plasma-nitridation process is applied to the silicon oxide layer so as to form a silicon oxynitride layer. A first polysilicon layer is formed on the silicon oxynitride layer. A thermal process is applied to the silicon oxynitride layer having the first polysilicon layer. After the thermal process, a second polysilicon layer is formed on the first polysilicon layer. The first polysilicon layer can protect the gate dielectric layer during the thermal process. The nitrogen atoms inside the gate dielectric layer do not lose out of the gate dielectric layer. Thus, the out-gassing phenomenon can be avoided, and a dielectric constant of the gate dielectric layer can not be changed, thereby increasing the reliability of the gate structure.
    Type: Application
    Filed: May 25, 2011
    Publication date: November 29, 2012
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Chien-Liang LIN, Gin-Chen Huang, Ying-Wei Yen, Yu-Ren Wang
  • Patent number: 8319307
    Abstract: A CMOS image sensor array has rows and columns of active pixels, and column lines in communication with the active pixels in the respective columns. Each active pixel has an output connected to a column line and includes a photodetector that produces a signal proportional to incident light intensity that is coupled to an active pixel output based on column select and row select signals. Each active pixel has a reset transistor for resetting the active pixel, wherein each reset transistor has a first gate terminal and a second gate terminal. The reset transistors have a variable threshold capability that allows increased sensor array dynamic range or mitigation of the effects of temperature or radiation induced transistor threshold voltage shifts. Row select, column select, and sense transistors can also be configured to have variable thresholds.
    Type: Grant
    Filed: November 18, 2005
    Date of Patent: November 27, 2012
    Assignee: Voxtel, Inc.
    Inventor: George Melville Williams
  • Patent number: 8319295
    Abstract: A new, effective and cost-efficient method of introducing Fluorine into Hf-based dielectric gate stacks of planar or multi-gate devices (MuGFET), resulting in a significant improvement in both Negative and Positive Bias Temperature Instabilities (NBTI and PBTI) is provided. The new method uses an SF6 based metal gate etch chemistry for the introduction of Fluorine, which after a thermal budget within the standard process flow, results in excellent F passivation of the interfaces. A key advantage of the method is that it uses the metal gate etch for F introduction, requiring no extra implantations or treatments. In addition to the significant BTI improvement with the novel method, a better Vth control and increased drive current on MuGFET devices is achieved.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: November 27, 2012
    Assignees: IMEC, Katholieke Universiteit Leuven, K.U. Leuven R&D
    Inventors: Nadine Collaert, Paul Zimmerman, Marc Demand, Werner Boullart, Adelina K. Shickova
  • Publication number: 20120292720
    Abstract: A metal gate structure includes a high dielectric constant (high-K) gate dielectric layer, a metal gate having at least a U-shaped work function metal layer positioned on the high-K gate dielectric layer, and a silicon carbonitride (SiCN) seal layer positioned on sidewalls of the high-K gate dielectric layer and of the metal gate.
    Type: Application
    Filed: May 18, 2011
    Publication date: November 22, 2012
    Inventors: Chih-Chung Chen, Yu-Ren Wang, Tsuo-Wen Lu, Wen-Yi Teng
  • Patent number: 8315095
    Abstract: Memory cells comprising: a semiconductor substrate having a source region and a drain region disposed below a surface of the substrate and separated by a channel region; a tunnel dielectric structure disposed above the channel region, the tunnel dielectric structure comprising at least one layer having a hole-tunneling barrier height; a charge storage layer disposed above the tunnel dielectric structure; an insulating layer disposed above the charge storage layer; and a gate electrode disposed above the insulating layer are described along with arrays and methods of operation.
    Type: Grant
    Filed: December 2, 2011
    Date of Patent: November 20, 2012
    Assignee: Macronix International Co., Ltd.
    Inventors: Hang-Ting Lue, Szu-Yu Wang
  • Patent number: 8314465
    Abstract: A semiconductor device comprises a silicate interface layer and a high-k dielectric layer overlying the silicate interface layer. The high-k dielectric layer comprises metal alloy oxides.
    Type: Grant
    Filed: March 3, 2011
    Date of Patent: November 20, 2012
    Assignee: Samsung Electronics Co., Inc.
    Inventors: Jong-Ho Lee, Nae-In Lee
  • Publication number: 20120286374
    Abstract: Methods for fabricating gate electrode/high-k dielectric gate structures having an improved resistance to the growth of silicon dioxide (oxide) at the dielectric/silicon-based substrate interface. In an embodiment, a method of forming a transistor gate structure comprises: incorporating nitrogen into a silicon-based substrate proximate a surface of the substrate; depositing a high-k gate dielectric across the silicon-based substrate; and depositing a gate electrode across the high-k dielectric to form the gate structure. In one embodiment, the gate electrode comprises titanium nitride rich in titanium for inhibiting diffusion of oxygen.
    Type: Application
    Filed: July 24, 2012
    Publication date: November 15, 2012
    Applicant: International Business Machines Corporation
    Inventors: Huiming Bu, Michael P. Chudzik, Wei He, William K. Henson, Siddarth A. Krishnan, Unoh Kwon, Naim Moumen, Wesley C. Natzle
  • Patent number: 8310012
    Abstract: A semiconductor device includes a semiconductor substrate, a gate dielectric layer formed on the semiconductor substrate, and at least a first conductive-type metal gate formed on the gate dielectric layer. The first conductive-type metal gate includes a filling metal layer and a U-type metal layer formed between the filling metal layer and the gate dielectric layer. A topmost portion of the U-type metal layer is lower than the filling metal layer.
    Type: Grant
    Filed: April 13, 2010
    Date of Patent: November 13, 2012
    Assignee: United Microelectronics Corp.
    Inventors: Guang-Yaw Hwang, Yu-Ru Yang, Jiunn-Hsiung Liao, Pei-Yu Chou
  • Publication number: 20120267727
    Abstract: An integrated circuit with a self-aligned contact includes a substrate with a transistor formed thereover, a dielectric spacer, a protection barrier, and a conductive layer. The transistor includes a mask layer and a pair of insulating spacers formed on opposite sides of the mask layer. The dielectric spacer partially covers at least one of the insulating spacers of the transistor. The protection barrier is formed over the dielectric spacer. The conductive layer is formed over the mask layer, the protection barrier, the dielectric spacer, the insulating spacer and the dielectric spacer as a self-aligned contact for contacting a source/drain region of the transistor.
    Type: Application
    Filed: April 25, 2011
    Publication date: October 25, 2012
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Jar-Ming Ho, Yi-Nan Chen, Hsien-Wen Liu
  • Patent number: 8294224
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a silicon oxynitride layer on a semiconductor device for use in a variety of electronic systems. The silicon oxynitride layer may be structured to control strain in a silicon channel of the semiconductor device to modify carrier mobility in the silicon channel, where the silicon channel is configured to conduct current under appropriate operating conditions of the semiconductor device.
    Type: Grant
    Filed: April 6, 2006
    Date of Patent: October 23, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Arup Bhattacharyya, Leonard Forbes
  • Publication number: 20120261772
    Abstract: A semiconductor device comprises a gate stack, a source region, a drain region, a contact plug and an interlayer dielectric, the gate stack being formed on a substrate, the source region and the drain region being located on opposite sides of the gate stack and embedded in the substrate, the contact plug being embedded in the interlayer dielectric, wherein the contact plug comprises a first portion which is in contact with the source region and/or drain region, the upper surface of the first portion is flushed with the upper surface of the gate stack, and the angle between a sidewall and a bottom surface of the first portion is less than 90°. There is also provided a method for manufacturing a semiconductor device.
    Type: Application
    Filed: August 9, 2011
    Publication date: October 18, 2012
    Inventors: Haizhou Yin, Huilong Zhu, Zhijiong Luo