At Least One Layer Of Silicide Or Polycrystalline Silicon Patents (Class 257/754)
  • Patent number: 6888252
    Abstract: Conductive contacts in a semiconductor structure, and methods for forming the conductive components are provided. The method comprises depositing a conductive material over a substrate to fill a contact opening, removing excess material from the substrate leaving the contact within the opening, and then heating treating the contact at a high temperature, preferably with a rapid thermal anneal process, in a reactive gas to remove an undesirable component from the contact, for example, thermal annealing a TiCl4-based titanium nitride in ammonia to remove chlorine from the contact, which can be corrosive to an overlying aluminum interconnect at a high concentration. The contacts are useful for providing electrical connection to active components in integrated circuits such as memory devices. In an embodiment of the invention, the contacts comprise boron-doped and/or undoped TiCl4-based titanium nitride having a low concentration of chlorine.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: May 3, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ammar Derraa
  • Patent number: 6879042
    Abstract: In a semiconductor device, an interlevel insulating film formed between a Cu interconnection, formed by damascene, and an upper metal interconnection layer on it has a multilayered structure made up of a Cu diffusion preventive insulating layer and another insulating film. The Cu diffusion preventive insulating layer has a multilayered structure made up of not less than two layers. A method for manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: April 12, 2005
    Assignee: NEC Electronics Corporation
    Inventors: Koichi Ohto, Takayuki Matsui
  • Patent number: 6861182
    Abstract: Employing a tri-tone attenuated phase shift trim mask in the second exposure of a double exposure alternating phase shift mask (alt-PSM) process is disclosed. A semiconductor wafer is first exposed utilizing a dark field alt-PSM, and then secondly is exposed utilizing a tri-tone attenuated PSM. The tri-tone attenuated PSM may include a transparent substrate, such as quartz, an opaque layer, such as chrome, and an attenuated layer, such as 6% transparency molybdenum silicide (MoSi). The opaque layer has a pattern corresponding to polysilicon gates to be imprinted on the semiconductor wafer, to protect the polysilicon photoresist patterns. The attenuated layer includes assist features to protect forbidden pitch semi-isolated field polysilicon patterns and isolated field polysilicon patterns to be imprinted on the semiconductor wafer.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: March 1, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventor: Chung-Hsing Chang
  • Patent number: 6861751
    Abstract: A self-aligned contact, and a method for fabricating the same, are provided. A conductive element having an overlying hydrogen silsesquioxane (HSQ)-based dielectric cap is formed over a semiconductor substrate. Dielectric sidewall spacers are then formed adjacent to sidewalls of the conductive element and the HSQ-based dielectric cap. A HSQ-based dielectric layer is formed over the resulting structure, and an inter-layer dielectric layer, such as TEOS, is formed over the HSQ-based dielectric layer. The inter-layer dielectric layer is then etched through a mask having an opening located over a sidewall spacer, a portion of the HSQ-based dielectric cap and a portion of the substrate. The etch (which may be a C5F8 based etch) has a high selectivity (e.g., about 20:1) with respect to the HSQ-based dielectric layer, thereby enabling the etch to stop on the HSQ-based dielectric layer. Another etch removes the exposed HSQ-based dielectric layer to expose the substrate.
    Type: Grant
    Filed: December 9, 2002
    Date of Patent: March 1, 2005
    Assignee: Integrated Device Technology, Inc.
    Inventor: Wei Tao
  • Patent number: 6853032
    Abstract: A process of forming a nitride film on a semiconductor substrate including exposing a surface of the substrate to a rapid thermal process to form the nitride film.
    Type: Grant
    Filed: December 8, 2003
    Date of Patent: February 8, 2005
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Donna K. Johnson, Glen L. Miles
  • Patent number: 6849909
    Abstract: A method and apparatus for providing a weak inversion mode metal-oxide-semiconductor (MOS) decoupling capacitor is described. In one embodiment, an enhancement-mode p-channel MOS (PMOS) transistor is constructed with a gate material whose work function differs from that commonly used. In one exemplary embodiment, platinum silicate (PtSi) is used. In alternate embodiments, the threshold voltage of the PMOS transistor may be changed by modifying the dopant levels of the substrate. In either embodiment the flat band magnitude of the transistor is shifted by the change in materials used to construct the transistor. When such a transistor is connected with the gate lead connected to the positive supply voltage and the other leads connected to the negative (ground) supply voltage, an improved decoupling capacitor results.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: February 1, 2005
    Assignee: Intel Corporation
    Inventors: Rajendran Nair, Siva G. Narendra, Tanay Karnik, Vivek K. De
  • Patent number: 6841879
    Abstract: A field-effect transistor including N?-extension regions, an N+-drain region, an N+-source region and a gate electrode at a surface of a silicon substrate. A sidewall insulating film on one of the side surfaces of the gate electrode partially covers the surface of the N?-extension region, and a sidewall insulating film on the other side surface entirely covers the N?-extension region. Further, a silicon oxide film covers the surface of N?-extension region not covered by the sidewall insulating film. Thereby, resistances of the gate electrode, source region, and drain region can be easily reduced in a transistor having extension regions, which are asymmetrical with respect to the gate electrode.
    Type: Grant
    Filed: October 5, 2001
    Date of Patent: January 11, 2005
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takaaki Murakami, Kazuyuki Sugahara
  • Patent number: 6830820
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: December 14, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland
  • Patent number: 6828614
    Abstract: The invention includes an array of devices and a charge pump supported by a semiconductive material substrate. A damage region is under the array, and extends less than or equal to 50% of a distance between the array and the charge pump. The invention also includes a method in which a mask is formed over a monocrystalline silicon substrate. A neutral-conductivity-type dopant is implanted through an opening in the mask and into a section of the substrate to produce a damage region. A first boundary extends around the damage region. The masking layer is removed, and epitaxial silicon is formed over the substrate. An array of devices is formed to be supported by the epitaxial silicon. The array is bounded by a second boundary. The first boundary extends less than or equal to 100 microns beyond the second boundary.
    Type: Grant
    Filed: March 13, 2003
    Date of Patent: December 7, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Fernando Gonzalez
  • Patent number: 6828679
    Abstract: The invention is to provide a solid image pickup device having high electric pressure proof between charge transfer electrodes of mono-layered structure, and enabling to drive at high speed with low consumption electric power. In this invention, a silicone base is formed on a surface thereof with an insulating film, on a surface of which inter-electrode insulating films of an oxidized silicone film and charge transfer electrodes are provided. The charge transfer electrode includes an adhesion film so formed as to cover a side wall of the inter-electrode insulating film and a gate insulating film as well as a conductive film containing metals in an area surrounded with the adhesion film. The adhesion film is formed with, e.g., polycrystalline silicone of highly dense dope, while the conductive film is formed with, e.g., a tungsten layer. The charge transfer electrode is formed on the upper face with the oxidized silicone film.
    Type: Grant
    Filed: April 23, 2003
    Date of Patent: December 7, 2004
    Assignee: Fuji Photo Film Co., Ltd.
    Inventor: Teiji Azumi
  • Patent number: 6818992
    Abstract: A method for forming a semiconductor structure includes supplying a structure having an exposed last metalization layer, cleaning the last metalization layer, forming a silicide in a top portion of the last metalization layer and forming a terminal over the silicide.
    Type: Grant
    Filed: September 21, 2000
    Date of Patent: November 16, 2004
    Assignee: International Business Machines Corporation
    Inventors: Douglas S. Armbrust, Margaret L. Gibson, Laura Serianni, Eric J. White
  • Patent number: 6815330
    Abstract: After formation of Cu interconnections 46a to 46e each to be embedded in an interconnection groove 40 of a silicon oxide film 39 by CMP and then washing, the surface of each of the silicon oxide film 39 and Cu interconnections 46a to 46e is treated with a reducing plasma (ammonia plasma). Then, without vacuum break, a cap film (silicon nitride film) is formed continuously. This process makes it possible to improve the dielectric breakdown resistance (reliability) of a copper interconnection formed by the damascene method.
    Type: Grant
    Filed: May 8, 2002
    Date of Patent: November 9, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Junji Noguchi, Naofumi Ohashi, Kenichi Takeda, Tatsuyuki Saito, Hizuru Yamaguchii, Nobuo Owada
  • Patent number: 6815264
    Abstract: A method of producing an antifuse, comprises the steps of: depositing a layer of undoped or lightly doped polysilicon on a layer of silicon dioxide on a semiconductor wafer; doping one region of the polysilicon P+; doping another region of the polysilicon N+, leaving an undoped or lightly doped region between the P+ and N+ regions; and forming electrical connections to the P+ and N+ regions.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: November 9, 2004
    Assignee: Zarlink Semiconductor Limited
    Inventors: Paul Ronald Stribley, John N Ellis, Ian G Daniels
  • Patent number: 6812578
    Abstract: According to various embodiments of the present invention, a bonding pad structure of a semiconductor device reduces damage caused by thermo-mechanical stress in beam lead bonding. A method of fabricating an improved bonding pad structure is also provided. A polysilicon film plate is preferably formed between a bonding pad metal layer and a dielectric layer. The polysilicon film plate absorbs external thermo-mechanical stress and improves the durability of the bonding pad in a bond pull test (BPT). The bonding between the bonding pad metal layer and the dielectric layer is also improved. Other features and advantages are also provided.
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: November 2, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin Kim, Tae-Gyeong Chung, Nam-Seog Kim, Woo-Dong Lee, Jin-Hyuk Lee
  • Patent number: 6812529
    Abstract: According to the present invention, an ultrathin buried diffusion barrier layer (UBDBL) is formed over all or part of the doped polysilicon layer of a polysilicide structure composed of the polycrystalline silicon film and an overlying film of a metal, metal silicide, or metal nitride. More specifically, according to one embodiment of the present invention, a memory cell is provided comprising a semiconductor substrate, a P well, an N well, an N type active region, a P type active region, an isolation region, a polysilicide gate electrode structure, and a diffusion barrier layer. The P well is formed in the semiconductor substrate. The N well is formed in the semiconductor substrate adjacent to the P well. The N type active region is defined in the P well and the P type active region is defined in the N well. The isolation region is arranged to isolate the N type active region from the P type active region.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: John D. Trivedi, Zhongze Wang, Chih-Chen Cho, Mike Violette, Todd R. Abbott
  • Patent number: 6806572
    Abstract: A new method and structure for an improved contact using doped silicon is provided. The structures are integrated into several higher level embodiments. The improved contact has low contact resistivity. Improved junctions are thus provided between an IGFET device and subsequent metallization layers. The improvements are obtained through the use of a silicon-germanium (Si—Ge) alloy. The alloy can be formed from depositing germanium onto the substrate and subsequently annealing the contact or by selectively depositing the preformed alloy into a contact opening. The above advantages are incorporated with relatively few process steps.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: October 19, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Publication number: 20040195689
    Abstract: A butting contact structure using a silicide to connect a contact region and a conductor and a method to manufacture the same are disclosed. The method comprises the steps of: forming a first area having a first conduction type and a second area having a second conduction type which is adjacent to the first area; forming a silicide to be in contact with the first and second areas; and depositing an insulating layer covering the first portion of the silicide; etching a contact window in the insulating layer for exposing a surface of the silicide; and forming a conductor filling in the contact window. The difficulty from the reduction of the contact window is overcome without altering the manufacturing process and the layer of masks. Moreover, the density and performance of the semiconductor element is improved.
    Type: Application
    Filed: April 27, 2004
    Publication date: October 7, 2004
    Inventors: Chorng-Wei Liaw, Ming-Jang Lin, Wei-Jye Lin
  • Patent number: 6787428
    Abstract: An aluminum interconnect which extends adjacent to and is insulated from a stacked capacitor structure to facilitate electrical communication between an active device region of a semiconductor substrate of a semiconductor device structure and a bit line extending above the semiconductor substrate. The aluminum interconnect is disposed within a trench and may include a metal silicide layer adjacent the active device region to form a buried metal diffusion layer. The aluminum interconnect may also include a metal nitride layer disposed between the metal silicide and aluminum. The invention also includes methods of fabricating aluminum interconnects adjacent stacked capacitor structures and semiconductor device structures which include the aluminum interconnects.
    Type: Grant
    Filed: June 26, 2002
    Date of Patent: September 7, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ruojia Lee, Ralph Kauffman, J. Dennis Keller
  • Patent number: 6777676
    Abstract: Disclosed are apparatus and methods for characterizing a potential defect of a semiconductor structure. A charged particle beam is scanned over a structure which has a potential defect. X-rays are detected from the scanned structure. The X-rays are in response to the charged particle beam being scanned over the structure. The potential defect of the scanned structure is characterized based on the detected X-rays. For example, it may be determined whether a potentially defective via has a SiO2 plug defect by comparing an X-ray count ratio of oxygen over silicon of the defective via with an X-ray count ratio of a known defect-free reference via. If the defective via has a relatively high ratio (more oxygen than silicon) as compared to the reference via, then it may be determined that a SiO2 plug defect is present within the defective via. Otherwise, the via may be defmed as having a different type of defect (e.g., not a SiO2 plug defect) or defined resulting in a “false” defect.
    Type: Grant
    Filed: November 21, 2002
    Date of Patent: August 17, 2004
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Ying Wang, Yeishin Tung, Anne Testoni
  • Patent number: 6774488
    Abstract: Low leakage and low resistance plugs for a memory device and the manufacturing method for the plugs includes a doped polysilicon layer first deposited at contact nodes and bit-line contacts inside the memory to form a low leakage interface. A low contact resistance imbedded tungsten plug is subsequently deposited on the polysilicon layer to form a low contact resistance imbedded tungsten plug in concavities at the contact nodes and bit-line contacts. Excess material is etched to leave double layer plugs at the contact nodes and bit-line contacts that constitute low leakage and low contact resistance memory plugs.
    Type: Grant
    Filed: October 22, 2001
    Date of Patent: August 10, 2004
    Assignee: Winbond Electronics Corp.
    Inventors: Wen-Kuei Huang, Hsi-Chuan Chen
  • Patent number: 6770972
    Abstract: A method for forming within a substrate employed within a microelectronics fabrication an electrical interconnection cross-over bridging between conductive regions separated by non-conductive regions formed within the substrate. There is formed over a substrate provided with conductive and non-conductive regions a blanket dielectric layer and a blanket polysilicon layer. After patterning the polysilicon and dielectric layers. A portion of the dielectric layer at the periphery of the polysilicon layer is etched away, leaving a gap between the polysilicon patterned layer and the underlying substrate contact region. There is formed over the substrate a layer of refractory metal and after rapid thermal annealing, there is formed a surface layer of refractory metal silicide over the surfaces of the polysilicon layer and within the gap between the polysilicon layer and the substrate, completing the electrical connection.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: August 3, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-der Tseng, Kuo-Ho Jao
  • Patent number: 6765295
    Abstract: Systems and methods are described for sharing signals across a common metal trace on a single metal layer of an integrated circuit. The signals are time division multiplexed across the common metal trace such that a single metal layer of an integrated circuit is used to multiplex signals to and from a poly-silicon layer, reducing utilization of upper metal layers of the integrated circuit.
    Type: Grant
    Filed: April 23, 2003
    Date of Patent: July 20, 2004
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Elias Gedamu, Denise Man
  • Patent number: 6759333
    Abstract: A semiconductor device comprises a first conductor formed inside or on the top surface of a semiconductor substrate; an insulating film formed on the top surface of said semiconductor substrate or on the top surface of said first conductor; contact holes penetrating said insulating layer to reach said first conductor; a second conductor filled inside said contact holes and electrically connected to said first conductor; and an interconnection extending across contact regions on a top surface region of said insulating layer where said contact holes are formed respectively, and having opposite sides at least one of which is in contact with said second conductor inside said contact regions.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: July 6, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mutsumi Okajima
  • Patent number: 6756663
    Abstract: A semiconductor device is made by mounting semiconductor elements on both sides of a wiring board having three-dimensional wiring including inner-via holes. A high operating speed and smaller size are made possible by employing a laminated structure of semiconductor elements without using the chip-on-chip configuration. Semiconductor elements are mounted on both sides of a wiring board having three-dimensional wiring including inner via holes so that the semiconductor elements oppose each other via the wiring board. The electrodes of the semiconductor elements are connected with each other by the three-dimensional wiring of the wiring board.
    Type: Grant
    Filed: January 8, 2003
    Date of Patent: June 29, 2004
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tsukasa Shiraishi, Tsutomu Mitani, Kazuyoshi Amami, Yoshihiro Bessho
  • Patent number: 6753606
    Abstract: A method of reducing the contact resistance of metal silicides to the p+ silicon area or the n+ silicon area of the substrate comprising: (a) forming a metal germanium (Ge) layer over a silicon-containing substrate, wherein said metal is selected from the group consisting of Co, Ti, Ni and mixtures thereof; (b) optionally forming an oxygen barrier layer over said metal germanium layer; (c) annealing said metal germanium layer at a temperature which is effective in converting at least a portion thereof into a substantially non-etchable metal silicide layer, while forming a Si—Ge interlayer between said silicon-containing substrate and said substantially non-etchable metal silicide layer; and (d) removing said optional oxygen barrier layer and any remaining alloy layer. When a Co or Ti alloy is employed, e.g.
    Type: Grant
    Filed: November 27, 2001
    Date of Patent: June 22, 2004
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Roy Arthur Carruthers, James McKell Edwin Harper, Christian Lavoie, Ronnen Andrew Roy, Yun Yu Wang
  • Patent number: 6753254
    Abstract: A method for forming a metallization layer. A first layer is formed outwardly from a semiconductor substrate. Contact vias are formed through the first layer to the semiconductor substrate. A second layer is formed outwardly from the first layer. Portions of the second layer are selectively removed such that the remaining portion of the second layer defines the layout of the metallization layer and the contact vias. The first and second layers are electroplated by applying a bi-polar modulated voltage having a positive duty cycle and a negative duty cycle to the layers in a solution containing metal ions. The voltage and surface potentials are selected such that the metal ions are deposited on the remaining portions of the second layer. Further, metal ions deposited on the first layer during a positive duty cycle are removed from the first layer during a negative duty cycle. Finally, exposed portions of the first layer are selectively removed.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: June 22, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Chris Chang Yu
  • Patent number: 6750498
    Abstract: In a semiconductor device having memory cells and peripheral circuits, the memory cells and the peripheral circuits are formed on a semiconductor substrate. Source regions, drain regions and gate electrodes of MOS transistors in the peripheral circuits are comprised of a refractory metallic silicide layer. Gate electrodes of MOS transistors in the memory cells are comprised of the refractory metallic silicide. Source and drain regions of the MOS transistors in the memory cells are not comprised of the refractory metallic silicide layer.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: June 15, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Jiro Ida, Naoko Nakayama
  • Publication number: 20040108598
    Abstract: A method (and structure formed thereby) of forming a metal silicide contact on a non-planar silicon containing region having controlled consumption of the silicon containing region, includes forming a blanket metal layer over the silicon containing region, forming a silicon layer over the metal layer, etching anisotropically and selectively with respect to the metal the silicon layer, reacting the metal with silicon at a first temperature to form a metal silicon alloy, etching unreacted portions of the metal layer, annealing at a second temperature to form an alloy of metal-Si2, and selectively etching the unreacted silicon layer.
    Type: Application
    Filed: May 15, 2003
    Publication date: June 10, 2004
    Applicant: International Business Machines Corporation
    Inventors: Cyril Cabral, Kevin K. Chan, Guy Moshe Cohen, Kathryn Wilder Guarini, Christian Lavoie, Paul Michael Solomon, Ying Zhang
  • Patent number: 6737716
    Abstract: Disclosed is a method of manufacturing a semiconductor device, comprising forming a metal compound film directly or indirectly on a semiconductor substrate, forming a metal-containing insulating film consisting of a metal oxide film or a metal silicate film by oxidizing the metal compound film, and forming an electrode on the metal-containing insulating film.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: May 18, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kouji Matsuo, Tomohiro Saito, Kyoichi Suguro, Shinichi Nakamura
  • Patent number: 6727549
    Abstract: A method of fabricating a film of active devices is provided. First damaged regions are formed, in a substrate, underneath first areas of the substrate where active devices are to be formed. Active devices are formed onto the first areas. Second damaged regions are formed, in the substrate, between the first damaged regions. The film is caused to detach from a rest of the substrate at a location where the first and second damaged regions are formed.
    Type: Grant
    Filed: February 29, 2000
    Date of Patent: April 27, 2004
    Assignee: Intel Corporation
    Inventor: Brian S. Doyle
  • Patent number: 6724088
    Abstract: Structures such as source/drain contacts of improved reliability are enabled by the creation and use of quantum conductive barrier layers at the interface between the electrical contact and the shallow diffusion source/drain region. The quantum conductive layers are preferably nitrides or oxynitrides. The improved structure is preferably part of a transistor structure of an integrated circuit device. The contacts structures are especially useful for devices employing ultra-shallow junctions.
    Type: Grant
    Filed: April 20, 1999
    Date of Patent: April 20, 2004
    Assignee: International Business Machines Corporation
    Inventors: Rajarao Jammy, Jack A. Mandelman
  • Patent number: 6720605
    Abstract: An aluminum interconnect which extends adjacent to and is insulated from a stacked capacitor structure to facilitate electrical communication between an active device region of a semiconductor substrate of a semiconductor device structure and a bit line extending above the semiconductor substrate. The aluminum interconnect is disposed within a trench and may include a metal silicide layer adjacent the active device region to form a buried metal diffusion layer. The aluminum interconnect may also include a metal nitride layer disposed between the metal silicide and aluminum. The invention also includes methods of fabricating aluminum interconnects adjacent stacked capacitor structures and semiconductor device structures which include the aluminum interconnects.
    Type: Grant
    Filed: August 29, 2000
    Date of Patent: April 13, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Ruojia Lee, Ralph Kauffman, J. Dennis Keller
  • Patent number: 6713872
    Abstract: A first silicon oxide film is formed in such a manner as to cover source/drain regions of a transistor. A conductive pad is provided in the first silicon oxide film in such a manner that one end surface thereof is connected to each source/drain region and the other end surface thereof is exposed to the surface of the first silicon oxide film. A second silicon oxide film is formed on the first silicon oxide film and the pad. A conductive layer functioning as plug is provided in the second silicon oxide film in such a manner that one end surface thereof is in contact with the pad and the other end surface thereof is connected to an interconnection layer. The surface of the first silicon oxide film is smoothly continuous to the other end surface of the pad at the same level. The conductive layer as the plug is formed in such a manner as to be smaller in size than the pad and to be in contact with the central portion of the pad.
    Type: Grant
    Filed: April 3, 2002
    Date of Patent: March 30, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Yoshinori Tanaka
  • Patent number: 6710413
    Abstract: An improved borderless contact structure for salicide field effect transistors (FETs) has been achieved. Salicide FETs are formed on device areas surrounded by a shallow trench isolation (STI) using a first rapid thermal anneal to form a metal silicide on the source/drain contacts and the gate electrodes. An interlevel dielectric (ILD) layer is deposited, and borderless contact openings, extending over the STI, are etched in the ILD to the source/drain areas. When the contact openings are etched, this results in over-etched regions in the STI at the source/drain-STI interface that result in source/drain-to-substrate shorts when metal plugs are formed in the contact openings. A contact opening implant is used to dope the junction profile in the source/drain contact around the STI over-etched region to prevent electrical shorts. The second RTA is then used to concurrently reduce the silicide sheet resistance and to electrically activate the contact opening implanted dopant.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: March 23, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kong-Beng Thei, Ming-Ta Lei, Shou-Gwo Wuu
  • Patent number: 6707154
    Abstract: Wires are formed on the main surface of a semiconductor substrate via a silicon oxide film. A nitride film is formed on the wires and the upper edge corner parts of the nitride film are rounded. Another nitride film, covering the nitride film, is formed and an interlayer oxide film is formed so as to cover this film. Contact holes are formed in the interlayer oxide film which reach the nitride film as well as the main surface of the semiconductor substrate and plug parts are formed within those contact holes.
    Type: Grant
    Filed: January 8, 2001
    Date of Patent: March 16, 2004
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Takashi Terauchi, Masahiro Shimizu
  • Patent number: 6707117
    Abstract: In a semiconductor structure, interconnects between regions of a single device or different devices are achieved by forming metal plugs that span across the regions to be interconnected, wherein the plugs are formed from the metal used in forming a silicide layer on the structure. The metal is masked off in desired areas prior to etching, to leave the metal plugs.
    Type: Grant
    Filed: October 31, 2002
    Date of Patent: March 16, 2004
    Assignee: National Semiconductor Corporation
    Inventors: Vladislav Vashchenko, Peter J. Hopper, Philipp Lindorfer, Andy Strachon, Peter Johnson
  • Patent number: 6703709
    Abstract: A layered trace configuration comprising a conductive trace capped with a silicide material which allows for removal of oxide polymer residues forming in vias used for interlayer contacts in a multilayer semiconductor device and eliminates or greatly reduces the formation of metal polymer residues in the vias. The formation of an interlayer contact according to one embodiment of the present invention comprises providing a trace formed on a semiconductor substrate and a silicide layer capping the conductive layer. An interlayer dielectric is deposited over the silicide capped trace and the substrate. A via is etched through the interlayer dielectric, wherein the etch is selectively stopped on the silicide layer. Any residue forming in the via is removed and a conductive material is deposited in the via to form the interlayer contact.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: March 9, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Sanh D. Tang
  • Patent number: 6703668
    Abstract: A local interconnect structure that includes a silicon spacer. After deposition of polysilicon gates and formation of spacers on a semiconductor substrate, photolithography and oxide etch steps are performed to remove a portion of a spacer along a segment of the gate where local interconnection is to be formed. A thin screen oxide layer is deposited over the wafer, followed by the formation of diffusion regions. A silicon layer (either amorphous or polycrystalline) is then deposited. The silicon layer is then selectively etched so as to form a silicon spacer along the segment of the gate where local interconnection is to be formed. A conventional SALICIDE process is performed, leading to simultaneous silicidation of the diffusion region, the gate, and the silicon spacer. The resulting local interconnect electrically connects the gate and the diffusion region.
    Type: Grant
    Filed: June 6, 2000
    Date of Patent: March 9, 2004
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Xi-Wei Lin, Emmanuel de Muizon
  • Patent number: 6690094
    Abstract: A contact interface having a substantially continuous profile along a bottom and lower sides of the active surface of the semiconductor substrate formed within a contact opening is provided. The contact interface is formed by depositing a layer of conductive material, such as titanium, using both a high bias deposition and a low bias deposition. The high bias and low bias deposition may be effected as a two-step deposition or may be accomplished by changing the bias from a high level to a low level during deposition, or vice versa. The conductive material may be converted to a silicide by an annealing process to form the contact interface.
    Type: Grant
    Filed: November 20, 2002
    Date of Patent: February 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Randle D. Burton, Shane Leiphart
  • Patent number: 6690071
    Abstract: A first well of a first conductivity type is formed in a partial region of the surface layer of a semiconductor substrate. A MOS transistor is formed in the first well. The MOS transistor has a gate insulating film, a gate electrode, and first and second impurity diffusion regions of a second conductivity type on both sides of the gate electrode. A high leak current structure is formed which makes a leak current density when a reverse bias voltage is applied across the first impurity diffusion region and first well become higher than a leak current density when the same reverse bias voltage is applied across the second impurity diffusion region and first well.
    Type: Grant
    Filed: November 13, 2002
    Date of Patent: February 10, 2004
    Assignee: Fujitsu Limited
    Inventors: Yasuhiro Sambonsugi, Hiroyuki Ohta, Shinji Sugatani, Yoichi Morriyama
  • Patent number: 6690072
    Abstract: A method (and structure) of forming a vertically-self-aligned silicide contact to an underlying SiGe layer, includes forming a layer of silicon of a first predetermined thickness on the SiGe layer and forming a layer of metal on the silicon layer, where the metal layer has a second predetermined thickness. A thermal annealing process at a predetermined temperature then forms a silicide of the silicon and metal, where the predetermined temperature is chosen to substantially preclude penetration of the silicide into the underlying SiGe layer.
    Type: Grant
    Filed: May 24, 2002
    Date of Patent: February 10, 2004
    Assignee: International Business Machines Corporation
    Inventors: Cyril Cabral, Jr., Roy A. Carruthers, Kevin K. Chan, Jack O. Chu, Guy Moshe Cohen, Steven J. Koester, Christian Lavoie, Ronnen A. Roy
  • Patent number: 6683357
    Abstract: The invention includes a method of forming a semiconductor construction. A metal-rich metal suicide layer is formed on a silicon-comprising substrate, and a metal nitride layer is formed on the metal-rich metal silicide layer. The metal-rich metal silicide layer and metal nitride layer are thermally processed to convert some of the metal-rich metal silicide into a stoichiometric metal silicide region. The thermal processing also drives nitrogen from the metal nitride layer into the metal-rich metal silicide layer to convert some of the metal-rich metal silicide layer into a region comprising metal, silicon and nitrogen. The invention also includes semiconductor constructions comprising a layer of MSi2 and a layer of MSiqNr, where M is Ta, W or Mo, and both q and r are greater than 0 and less than 2.
    Type: Grant
    Filed: October 25, 2002
    Date of Patent: January 27, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Jeff Hu
  • Patent number: 6674167
    Abstract: Structures, systems and methods are provide for multilevel wiring interconnects in an integrated circuit assembly which alleviate problems associated with integrated circuit size and performance. The structures, systems and methods of the present invention include a method for forming multilevel wiring interconnects in an integrated circuit assembly. The method includes forming a number of multilayer metal lines separated by a number of air gaps above a substrate. A silicide layer is formed on the number of multilayer metal lines. The silicide layer is oxidized. And, a low dielectric constant insulator is deposited to fill a number of interstices created by the number of air gaps between the number of multilayer metal lines. In one embodiment, forming the number of multilayer metal lines includes a first conductor bridge level. In one embodiment, forming a silicide layer on the number of multilayer metal lines includes using a pyrolysis of silane at a temperature of between 300 and 500 degrees Celsius.
    Type: Grant
    Filed: May 31, 2000
    Date of Patent: January 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes, Jerome M. Eldridge
  • Patent number: 6670717
    Abstract: A method and structure for the electrical characterization of a semiconductor device comprising, first, forming a hole having a diameter less than 0.15 &mgr;m, wherein the hole is created using focused ion beam (FIB) etching, and through at least a protective cap layer formed over the device. The FIB etching occurs in an electron mode using a beam current less than 35 &rgr;A with an aperture size less than 50 &mgr;m, and at an acceleration voltage of about 50 kV. Second, the surface of the hole is coated with a metal, preferably using chemical vapor deposition (CVD) and preferably using a FIB device. Third, a metal pad is deposited, preferably by FIB CVD, over the hole. Fourth, the pad is probed to determine characteristics and/or detect defects of the electrical device. The present invention allows for electrical characterization without causing damage to the device or its features.
    Type: Grant
    Filed: October 15, 2001
    Date of Patent: December 30, 2003
    Assignee: International Business Machines Corporation
    Inventors: Terence Kane, Lawrence S. Fischer, Steven B. Herschbein, Ying Hong, Michael P. Tenney
  • Publication number: 20030230808
    Abstract: A method is described for filling of high aspect ratio contact vias provided over silicon containing areas. A via is formed in an insulating layer over the silicon containing area and a silicide forming material is deposited in the via. A silicide region is formed over the silicon containing area, the silicide forming material is removed from the via leaving the silicide region. The via is then filled with a conductor using an electroless plating process.
    Type: Application
    Filed: May 29, 2002
    Publication date: December 18, 2003
    Inventors: Nishant Sinha, Paul A. Morgan
  • Publication number: 20030222347
    Abstract: A method is described for filling of high aspect ratio contact vias provided over silicon containing areas. A via is formed in an insulating layer over the silicon containing area and a silicide forming material is deposited in the via. A silicide region is formed over the silicon containing area, the silicide forming material is removed from the via leaving the silicide region. The via is then filled with a conductor using an electroless plating process.
    Type: Application
    Filed: March 3, 2003
    Publication date: December 4, 2003
    Inventors: Nishant Sinha, Paul A. Morgan
  • Patent number: 6642606
    Abstract: In the manufacture of integrated semiconductor structures, the problem frequently occurs that the resistance of polysilicon structures employed as interconnects must be selectively lowered. In order to reduce the resistance of a polysilicon structure, the structure is often provided with a silicide layer. However, the manufacturing problem occurs when siliconizing only specific polysilicon structures but not siliconizing others, for example those that are to be employed for resistors.
    Type: Grant
    Filed: March 26, 2002
    Date of Patent: November 4, 2003
    Assignee: Infineon Technologies Aktiengesellschaft
    Inventor: Josef Boeck
  • Patent number: 6642627
    Abstract: A semiconductor chip comprises a semiconductor substrate having integrated circuits formed on a cell region and a peripheral circuit region adjacent to each other. A bond pad-wiring pattern is formed on the semiconductor substrate. A pad-rearrangement pattern is electrically connected to the bond pad-wiring pattern. The pad-rearrangement pattern includes a bond pad disposed over at least a part of the cell region. The bond pad-wiring pattern is formed substantially in a center region of the semiconductor substrate. Thus, with the embodiments of the present invention, the overall chip size can thereby be substantially reduced and an MCP can be fabricated without the problems mentioned above.
    Type: Grant
    Filed: July 9, 2002
    Date of Patent: November 4, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Hee Song, Il-Heung Choi, Jeong-Jin Kim, Hae-Jeong Sohn, Chung-Woo Lee
  • Patent number: 6642620
    Abstract: Contact areas comprising doped semiconductor material at the bottom of contact holes are cleaned in a hot hydrogen plasma and exposed in situ during and/or separately from the hot hydrogen clean to a plasma containing the same dopant species as in the semiconductor material so as to partially, completely, or more than completely offset any loss of dopant due to the hot hydrogen clean. A protective conductive layer such as a metal silicide is then formed over the contact area in situ. The resulting integrated circuit has contacts with interfaces such as a silicide interfaces to contact areas having a particularly favorable dopant profile and concentration adjacent the silicide interfaces.
    Type: Grant
    Filed: April 20, 2001
    Date of Patent: November 4, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Sujit Sharan, Gurtej S. Sandhu
  • Patent number: RE38296
    Abstract: A semiconductor memory wherein a memory cell region having a plurality of memory cells and a relatively high altitude above the surface of semiconductor substrate is formed at a recessed part of the semiconductor substrate having the recessed part and a projected part, and wherein a peripheral circuit region having a comparatively low altitude from the surface of the semiconductor substrate is formed at the projected part of the semiconductor substrate.
    Type: Grant
    Filed: March 23, 1995
    Date of Patent: November 4, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Noboru Moriuchi, Yoshiki Yamaguchi, Toshihiko Tanaka, Norio Hasegawa, Yoshifumi Kawamoto, Shin-ichiro Kimura, Toru Kaga, Tokuo Kure