Alloy Containing Molybdenum, Titanium, Or Tungsten Patents (Class 257/764)
  • Patent number: 7307344
    Abstract: Disclosed is a semiconductor device comprising a semiconductor substrate, a first insulating film formed above the semiconductor substrate, Cu wiring buried in the first insulating film, a second insulating film formed above the Cu wiring, and a discontinuous film made of at least one metal selected from the group consisting of Ti, Al, W, Pd, Sn, Ni, Mg and Zn, or a metal oxide thereof and interposed at an interface between the Cu wiring and the second insulating film.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: December 11, 2007
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Gaku Minamihaba, Hiroyuki Yano, Nobuyuki Kurashima, Susumu Yamamoto
  • Patent number: 7303988
    Abstract: Methods of forming a multi-level metal line of a semiconductor device are disclosed. One example method includes subsequently stacking first and second metal layers, wherein a conductive etching stopper layer is interposed at an interface between the first and second metal layers; forming first and second metal layer pattern by patterning the first metal layer, the etching stopper layer, and the second metal layer, wherein the first metal layer pattern is formed as a lower metal line; forming a connection contact in form of a plug by selectively etching the second metal layer pattern until the etching stopper layer is exposed; forming an interlayer insulating layer to cover the connection contact and the first metal layer pattern; and exposing an upper surface of the connection contact by planarizing the interlayer insulating layer.
    Type: Grant
    Filed: December 30, 2004
    Date of Patent: December 4, 2007
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Sang Chul Shim
  • Patent number: 7301238
    Abstract: The contact opening through an insulating layer is formed having a straight sidewall portion and a bowl shaped sidewall portion. The bowl shaped sidewall portion is near the top of the insulation layer to provide an enlarged diameter of the contact opening at the top relative to the bottom. A conductive material is then formed in the contact opening in electrical contact with a lower conductive layer. The conductive material forms a plug having an enlarged head, such as a nail head or a flat heat screw shape. The enlarged head protects the silicon and a barrier layer, if present, within the contact from being etched by any subsequent anisotropic etches. Thus, when an electrical interconnection layer such as aluminum is formed overlying the contact plug, the plug acts as an etch stop to prevent etching of a barrier layer of the barrier layer within the contact opening.
    Type: Grant
    Filed: July 22, 2004
    Date of Patent: November 27, 2007
    Assignee: STMicroelectronics, Inc.
    Inventor: Gregory C. Smith
  • Patent number: 7294851
    Abstract: Methods of forming dense seed layers and structures thereof. Seed layers comprising a monolayer of molecules having a density of about 0.5 or greater may be manufactured over a metal layer, resulting in a well defined interface region between the metal layer and a subsequently formed material layer. A seed layer comprising a monolayer of atoms is formed over the metal layer, the temperature of the workpiece is lowered, and a physisorbed layer is formed over the seed layer, the physisorbed layer comprising a weakly bound layer of first molecules. A portion of the first molecules in the physisorbed layer are dissociated by irradiating the physisorbed layer with energy, the dissociated atoms of the first molecules being proximate the seed layer. The workpiece is then heated, causing integration of the dissociated atoms of the first molecules of the physisorbed layer into the seed layer and removing the physisorbed layer.
    Type: Grant
    Filed: November 3, 2004
    Date of Patent: November 13, 2007
    Assignee: Infineon Technologies AG
    Inventor: Stefan Wurm
  • Patent number: 7276801
    Abstract: Methods, techniques, and structures relating to die packaging. In one exemplary implementation, a die package interconnect structure includes a semiconductor substrate and a first conducting layer in contact with the semiconductor substrate. The first conducting layer may include a base layer metal. The base layer metal may include Cu. The exemplary implementation may also include a diffusion barrier in contact with the first conducting layer and a wetting layer on top of the diffusion barrier. A bump layer may reside on top of the wetting layer, in which the bump layer may include Sn, and Sn may be electroplated. The diffusion barrier may be electroless and may be adapted to prevent Cu and Sn from diffusing through the diffusion barrier. Furthermore, the diffusion barrier may be further adapted to suppress a whisker-type formation in the bump layer.
    Type: Grant
    Filed: September 22, 2003
    Date of Patent: October 2, 2007
    Assignee: Intel Corporation
    Inventors: Valery M. Dubin, Sridhar Balakrishnan, Mark Bohr
  • Patent number: 7253519
    Abstract: A chip structure comprising a chip, a redistribution layer, a second passivation layer and at least a bump is provided. The chip has a first passivation layer and at least a bonding pad. The first passivation layer exposes the bonding pad and has at least a recess. The redistribution layer is formed over the first passivation layer and electrically connected to the bonding pad. Furthermore, the redistribution layer also extends from the bonding pad to the recess. The second passivation layer is formed over the first passivation layer and the redistribution layer. The second passivation layer also has an opening that exposes the redistribution layer above the recess. The bump passes through the opening and connects electrically with the redistribution layer above the recess.
    Type: Grant
    Filed: June 9, 2004
    Date of Patent: August 7, 2007
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: Min-Lung Huang, Chi-Long Tsai, Chao-Fu Weng, Ching-Huei Su
  • Patent number: 7253501
    Abstract: A semiconductor device having a nonconductive cap layer comprising a first metal element. The nonconductive cap layer comprises a first metal nitride, a first metal oxide, or a first metal oxynitride over conductive lines and an insulating material between the conductive lines. An interface region may be formed over the top surface of the conductive lines, the interface region including the metal element of the cap layer. The cap layer prevents the conductive material in the conductive lines from migrating or diffusing into adjacent subsequently formed insulating material layers. The cap layer may also function as an etch stop layer.
    Type: Grant
    Filed: August 3, 2004
    Date of Patent: August 7, 2007
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Ming Lee, Jing-Cheng Lin, Shing-Chyang Pan, Ching-Hua Hsieh, Chao-Hsien Peng, Cheng-Lin Huang, Li-Lin Su, Shau-Lin Shue
  • Patent number: 7245018
    Abstract: A semiconductor device having good TFT characteristics is realized. By using a high purity target as a target, using a single gas, argon (Ar), as a sputtering gas, setting the substrate temperature equal to or less than 300° C., and setting the sputtering gas pressure from 1.0 Pa to 3.0 Pa, the film stress of a film is made from ?1×1010 dyn/cm2 to 1×10 dyn/cm2. By thus using a conducting film in which the amount of sodium contained within the film is equal to or less than 0.3 ppm, preferably equal to or less than 0.1 ppm, and having a low electrical resistivity (equal to or less than 40 ??·cm), as a gate wiring material and a material for other wirings of a TFT, the operating performance and the reliability of a semiconductor device provided with the TFT can be increased.
    Type: Grant
    Filed: June 21, 2000
    Date of Patent: July 17, 2007
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Keiji Sato, Shunpei Yamazaki
  • Patent number: 7235844
    Abstract: A high-reliability power composite integrated semiconductor device uses thick copper electrodes as current collecting electrodes of a power device portion to resist wire resistance needed for reducing ON-resistance. Furthermore, wire bonding connection of the copper electrodes is secured, and also the time-lapse degradation under high temperature which causes diffusion of copper and corrosion of copper is suppressed. Still furthermore, direct bonding connection can be established to current collecting electrodes in the power device portion, and also established to a bonding pad formed on the control circuit portion in the control circuit portion. A pad area at the device peripheral portion which has been hitherto needed is reduced, so that the area of the device is saved, and the manufacturing cost is reduced.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: June 26, 2007
    Assignee: Denso Corporation
    Inventor: Hiroyasu Itou
  • Patent number: 7164207
    Abstract: A wiring structure for semiconductor device has a wiring layer that includes copper as main component and a crystal grain promotion layer that promotes enlargement in a crystal grain of the wiring layer.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: January 16, 2007
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Masahiro Shimada, Miki Moriyama, Masanori Murakami, Naoki Shibata
  • Patent number: 7161211
    Abstract: Aluminum-containing films having an oxygen content within the films. The aluminum-containing film is formed by introducing hydrogen gas along with argon gas into a sputter deposition vacuum chamber during the sputter deposition of aluminum or aluminum alloys onto a semiconductor substrate. The aluminum-containing film so formed is hillock-free and has low resistivity, relatively low roughness compared to pure aluminum, good mechanical strength, and low residual stress.
    Type: Grant
    Filed: November 6, 2002
    Date of Patent: January 9, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Kanwal K. Raina, David H. Wells
  • Patent number: 7148572
    Abstract: A multilayer wiring structure of a semiconductor device having a stacked structure is arranged to restrain reliability degradation due to stress applied to the region of wiring between opposite upper and lower plugs. The rate of overlap of contact surface between upper plug and wiring on contact surface between lower plug and wiring, is small to the extent that no void is generated. The multilayer wiring structure is produced such that no grain boundary is contained in the region of wiring between upper and lower plugs. The difference in thermal expansion coefficient between the material of wiring and the material of upper and lower plugs, is small to the extent that no void is generated.
    Type: Grant
    Filed: May 4, 2004
    Date of Patent: December 12, 2006
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Shinichi Domae, Hiroshi Masuda, Yoshiaki Kato, Kousaku Yano
  • Patent number: 7148570
    Abstract: Low resistivity, C54-phase TiSi2 is formed in narrow lines on heavily doped polysilicon by depositing a bi-layer silicon film. A thin, undoped amorphous layer is deposited on top of a heavily doped layer. The thickness of the undoped amorphous Si is about 2.4 times the thickness of the subsequently deposited Ti film. Upon thermal annealing above 750° C., the undoped amorphous Si is consumed by the reaction of Ti+Si to form TiSi2, forming a low-resistivity, C54-phase TiSi2 film on top of heavily doped polysilicon. The annealing temperature required to form C54 phase TiSi2 is reduced by consuming undoped amorphous Si in the reaction of Ti and Si, as compared with heavily doped polysilicon. Narrow lines (<0.3 ?m) of low-resistivity, C54-phase TiSi2 films on heavily doped polysilicon are thus achieved.
    Type: Grant
    Filed: August 13, 2001
    Date of Patent: December 12, 2006
    Assignee: SanDisk 3D LLC
    Inventors: Scott Brad Herner, Michael A. Vyvoda
  • Patent number: 7141880
    Abstract: The method for forming a metal line stacking structure according to a preferred embodiment of the present invention comprises: sequentially forming a first barrier metal and a first metal layer on a lower dielectric layer that is disposed over a semiconductor substrate, and performing a plasma treatment; forming a second barrier metal on the plasma-treated first metal layer; selectively etching the second barrier metal, the first metal layer, and the first barrier metal to form a metal line layer including the second barrier metal, the first metal layer, and the first barrier metal, which respectively have a predetermined width; and sintering the metal line layer to raise a reaction between the first metal layer and the second barrier metal, thereby generating a metal compound layer.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: November 28, 2006
    Assignee: Dongbuanam Semiconductor Inc.
    Inventor: Jae-Won Han
  • Patent number: 7135770
    Abstract: A columnar bump formed of copper etc. is formed on a wiring film of a semiconductor chip through an interconnected film and an adhesive film in a wafer unit by electrolytic plating in which package formation is possible. An oxidation prevention film is formed of such as gold on an upper surface or a part of the upper surface and side surface. A wet prevention film of such as an oxide film is formed on the columnar bump side as needed. If this bump is soldered to the pad on a packaging substrate, solder gets wet in the whole region of the columnar bump upper surface and only a part of the side surface. Stabilized and reliable junction form can be thus formed. Moreover, since the columnar bump does not fuse, the distance between a semiconductor board and a packaging board is not be narrowed by solder.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: November 14, 2006
    Assignee: NEC Corporation
    Inventors: Tomohiro Nishiyama, Masamoto Tago
  • Patent number: 7126220
    Abstract: This invention provides a solution to increase the yield strength and fatigue strength of miniaturized springs, which can be fabricated in arrays with ultra-small pitches. It also discloses a solution to minimize adhesion of the contact pad materials to the spring tips upon repeated contacts without affecting the reliability of the miniaturized springs. In addition, the invention also presents a method to fabricate the springs that allow passage of relatively higher current without significantly degrading their lifetime.
    Type: Grant
    Filed: March 17, 2003
    Date of Patent: October 24, 2006
    Assignee: NanoNexus, Inc.
    Inventors: Syamal Kumar Lahiri, Frank Swiatowiec, Fu Chiung Chong, Sammy Mok, Erh-Kong Chieh, Roman L. Milter, Joseph M. Haemer, Chang-Ming Lin, Yi-Hsing Chen, David Thanh Doan
  • Patent number: 7119443
    Abstract: The semiconductor device is formed according to the following steps. A TiN film 71 and a W film 72 are deposited on a silicon oxide film 64 including the inside of a via-hole 66 by the CVD method and thereafter, the W film 72 and TiN film 71 on the silicon oxide film 64 are etched back to leave only the inside of the via-hole 66 and form a plug 73. Then, a TiN film 74, Al-alloy film 75, and Ti film 76 are deposited on the silicon oxide film 64 including the surface of the plug 73 by the sputtering method and thereafter, the Ti film 76, Al-alloy film 75, and TiN film 74 are patterned to form second-layer wirings 77 and 78.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: October 10, 2006
    Assignee: Hitachi, Ltd.
    Inventors: Yoshitaka Nakamura, Tsuyoshi Tamaru, Naoki Fukuda, Hidekazu Goto, Isamu Asano, Hideo Aoki, Keizo Kawakita, Satoru Yamada, Katsuhiko Tanaka, Hiroshi Sakuma, Masayoshi Hirasawa
  • Patent number: 7105928
    Abstract: Semiconductor devices and methods of forming the semiconductor devices using an HTS (High Temperature Superconductor) layer in combination with a typical diffusion layer between the dielectric material and the copper (or other metal) conductive wiring. The HTS layer includes a superconductor material comprised of barium copper oxide and a rare earth element. The rare earth element yttrium is particularly suitable. For semiconductor devices having other semiconductor circuits or elements above the wiring, a capping layer of HTS material is deposited over the wiring before a cover layer of dielectric is deposited.
    Type: Grant
    Filed: October 10, 2003
    Date of Patent: September 12, 2006
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Horng-Huei Tseng, Chenming Hu, Chao-Hsiung Wang
  • Patent number: 7095121
    Abstract: An integrated circuit chip 501 has a plurality of contact pads (FIG. 5B) to be connected by reflow attachment 510 to outside parts. The chip comprises a deposited layer 505 of nickel/titanium alloy on each of the pads; the alloy has a composition and crystalline structure operable in reversible phase transitions under thermomechanical stress, whereby mechanical strain is absorbed by the alloy layer. Preferably, the alloy has between 55.0 and 56.0 weight % nickel, between 44.0 and 45.0 weight % titanium, and a thickness in the range from 0.3 to 6.0 ?m, recrystallized after deposition in a temperature range from 450 to 600° C. for a time period between 4 and 6 min. A layer 506 of solderable metal is on the alloy, operable as diffusion barrier after reflow attachment.
    Type: Grant
    Filed: July 25, 2002
    Date of Patent: August 22, 2006
    Assignee: Texas Instrument Incorporated
    Inventor: John P. Tellkamp
  • Patent number: 7091609
    Abstract: Certain embodiments of the present invention relate to a semiconductor device that has a pad section having an excellent coherency with an interlayer dielectric layer, and a method for manufacturing the same. A semiconductor device 1000 has a pad layer 30A formed over an interlayer dielectric layer 20. The pad section 30A includes a wetting layer 32 and a metal wiring layer 37. The metal wiring layer 37 includes an alloy layer 34 that contacts the wetting layer 32. The alloy layer 34 is formed from a material composing the wetting layer 32 and a material composing the metal wiring layer 37.
    Type: Grant
    Filed: March 8, 2004
    Date of Patent: August 15, 2006
    Assignee: Seiko Epson Corporation
    Inventors: Kazuki Matsumoto, Yukio Morozumi, Michio Asahina
  • Patent number: 7087997
    Abstract: Tungsten studs of a size comparable to vias are provided to integrate and interface between copper and aluminum metallization layers in an integrated circuit and/or package therefor by lining a via opening, preferably with layers of tantalum nitride and PVD tungsten as a barrier against the corrosive effects of tungsten fluoride on copper. The reduced size of the tungsten studs relative to known interface structures allows wiring and connection pads to be formed in a single aluminum layer, improving performance and reducing process time and cost.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: August 8, 2006
    Assignee: International Business Machines Corporation
    Inventors: Lloyd G. Burrell, Edward E. Cooney, III, Jeffrey P. Gambino, John E. Heidenreich, III, Hyun Koo Lee, Mark D. Levy, Baozhen Li, Stephen E. Luce, Thomas L. McDevitt, Anthony K. Stamper, Kwong Hon Wong, Sally J. Yankee
  • Patent number: 7084504
    Abstract: A diffusion barrier layer comprising TiNxBy is disclosed for protection of gate oxide layers in integrated transistors. The diffusion barrier layer can be fabricated by first forming a TiN layer and then incorporating boron into the TiN layer. The diffusion barrier layer can also be fabricated by forming a TiNxBy layer using a TDMAT process including boron. The diffusion barrier layer can also be fabricated by forming a TiNxBy layer using a CVD process. The diffusion barrier layer is of particular utility in conjunction with tungsten or tungsten silicide conductive layers formed by CVD.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: August 1, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Vishnu K. Agarwal, Gurtej S. Sandhu
  • Patent number: 7067920
    Abstract: A semiconductor device includes an upper wiring layer, a lower wiring layer, an electrically insulating layer sandwiched between the upper and lower wiring layers, a tungsten plug formed in a through-hole formed through the electrically insulating layer, for electrically connecting the upper and lower wiring layers to each other, a titanium film covering an inner surface of the through-hole and a surface of the electrically insulating layer therewith, a first titanium nitride film entirely covering the titanium film therewith, and a second titanium nitride film covering the first titanium nitride film and a surface of the tungsten plug therewith.
    Type: Grant
    Filed: January 17, 2003
    Date of Patent: June 27, 2006
    Assignee: Elpida Memory, Inc.
    Inventor: Yoshitaka Ishihara
  • Patent number: 7067917
    Abstract: The present invention is directed to a structure of a gradient barrier layer. The gradient barrier with a composite structure of metal/metal salt of different composition/metal such as Ta/TaxN1?x/TaN/TaxN1?x/Ta (tantalum/tantalumx nitride1?x/tantalum nitride/tantalumx nitride1?x/tantalum) is proposed to replace the conventional barrier for copper metallization. The gradient barrier can be formed in a chemical vapor deposition (CVD) process or a multi-target physical vapor deposition (PVD) process. For CVD process, using the characteristics of well-controlled reaction gas injection, the ratio of tantalum (Ta) and nitrogen (N) can be modulated gradually to form the gradient barrier. For the multi-target PVD process, the gradient barrier is formed by depositing multi-layers of different composition TaxN1?x films. After subsequent thermal cycle processes such as metal alloy, the inter-layer diffusion occurs and a more smooth distribution of Ta and N is achieved for the gradient barrier.
    Type: Grant
    Filed: January 7, 2003
    Date of Patent: June 27, 2006
    Assignee: United Microelectronics Corp.
    Inventors: Fu-Tai Liou, Cheng-Yu Hung, Tri-Rung Yew
  • Patent number: 7067928
    Abstract: A semiconductor device having a semiconductor substrate and a bonding pad portion formed on the semiconductor substrate, the bonding pad portion having: an insulating film formed on the semiconductor substrate and a first-level conductive pad layer of a large island shape formed on the insulating film; first-level to (n?1)-level (n is an integer of 3 or larger) interlayer insulating films formed on and over the insulating film; second-level to n-level conductive pad layers formed on the interlayer insulating films in areas generally corresponding to an area where the first conductive pad layer was formed; a plurality of small diameter first through holes from the first-level to (n?1) level formed through the first-level to (n?1) level interlayer insulating films in areas generally corresponding to an area where the first conductive pad layer; a plurality of first contact plugs filled in the small diameter first through holes from the first-level to (n?1)-level, the first contact plugs at each level being cond
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: June 27, 2006
    Assignee: Yamaha Corpoation
    Inventor: Takahisa Yamaha
  • Patent number: 7053462
    Abstract: A conductive material is provided in an opening formed in an insulative material. The process involves first forming a conductive material over at least a portion of the opening and over at least a portion of the insulative material which is outside of the opening. Next, a metal-containing fill material is formed over at least a portion of the conductive material which is inside the opening and which is also over the insulative material outside of the opening. The metal-containing material at least partially fills the opening. At least a portion of both the metal-containing fill material and the conductive material outside of the opening is then removed. Thereafter, at least a portion of the metal-containing fill material which is inside the opening is then removed.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: May 30, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Sam Yang, John M. Drynan
  • Patent number: 7045842
    Abstract: An integrated circuit device, e.g., a memory device, includes a substrate, a first insulation layer on the substrate, and a contact pad disposed in the first insulation layer in direct contact with the substrate. A second insulation layer is disposed on the first insulation layer. A conductive pattern, e.g., a damascene bit line, is disposed in the second insulation layer. A conductive plug extends through the second insulation layer to contact the contact pad and is self-aligned to the conductive pattern. An insulation film may separate the conductive pattern and the conductive plug. A glue layer may be disposed between the conductive pattern and the second insulation layer. The device may further include a third insulation layer on the second insulation layer and the conductive pattern, and the conductive plug may extend through the second and third insulation layers.
    Type: Grant
    Filed: February 13, 2004
    Date of Patent: May 16, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hee-Sook Park, Gil-Heyun Choi, Sang-Bom Kang, Kwang-Jin Moon, Hyun-Su Kim, Seung-Gil Yang
  • Patent number: 7042099
    Abstract: There is disclosed a semiconductor device comprising a substrate, a first insulating film which is provided above the substrate and has a relative dielectric constant which is at most a predetermined value, a second insulating film which is provided on a surface of the first insulating film and has a relative dielectric constant greater than the predetermined value, a wire which is provided in a recess for the wire, which is formed passing through the second insulating film and extending into the first insulating film, and a dummy wire provided in a recess for the dummy wire, which is formed passing through the second insulating film and extending into the first insulating film, and is located in a predetermined area spaced from an area where the wire is provided.
    Type: Grant
    Filed: August 14, 2003
    Date of Patent: May 9, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Nobuyuki Kurashima, Gaku Minamihaba, Dai Fukushima, Yoshikuni Tateyama, Hiroyuki Yano
  • Patent number: 7034398
    Abstract: A semiconductor device includes an active element structure that is formed on a semiconductor substrate and has a connection region formed in the surface of the semiconductor substrate. A contact hole extends from a surface of a first insulating film formed on the semiconductor substrate to the connection region. A contact plug is provided in the contact hole. A clearance formed in the contact plug is formed with a buried conductive film consisting of a material different from the contact plug. The buried conductive film has a continuous surface without forming a step with the surface of the contact plug.
    Type: Grant
    Filed: November 13, 2003
    Date of Patent: April 25, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Akihiro Kajita, Kazuyuki Higashi
  • Patent number: 7030418
    Abstract: A chip carrier includes a metal-coated portion formed on a front surface of a substrate and to be mounted a device, and a rear surface of the substrate being coated with a metal, in which a metal-coated portion is formed on a side surface of the substrate and the metal-coated portion on the front surface of substrate is connected with the metal-coated portion on the rear surface by the metal-coated portion formed on the side surface of the substrate, thereby maintaining frequency characteristics of the optical semiconductor device.
    Type: Grant
    Filed: March 25, 2004
    Date of Patent: April 18, 2006
    Assignee: OpNext Japan, Inc.
    Inventors: Noriko Sasada, Kazuhiko Naoe, Masataka Shirai, Hideo Arimoto, Satoshi Tada
  • Patent number: 7026714
    Abstract: An integrated circuit (IC) may include a substrate, a first dielectric layer adjacent the substrate, and at least one trench in the first dielectric layer. The IC may also include a metal liner within the at least one trench, and a first conductive region including copper within the at least one trench. A cap layer including metal may be provided on the first conductive region. A second dielectric layer may be over the first conductive region and the cap layer. A dielectric etch stop and diffusion barrier layer may be over the second dielectric layer, and a via may be over the first conductive region and through the second dielectric layer and the cap layer. A diffusion barrier layer may be on sidewalls of the via, and an alloy seed layer including copper and at least one of tantalum, molybdenum, chromium, and tungsten may be over the diffusion barrier.
    Type: Grant
    Filed: March 18, 2004
    Date of Patent: April 11, 2006
    Inventor: James A. Cunningham
  • Patent number: 7009298
    Abstract: A contact structure is provided incorporating an amorphous titanium nitride barrier layer formed via low-pressure chemical vapor deposition (LPCVD) utilizing tetrakis-dialkylamido-titanium, Ti(NMe2)4, as the precursor.
    Type: Grant
    Filed: August 11, 2003
    Date of Patent: March 7, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Trung T. Doan, Tyler A. Lowrey
  • Patent number: 7002201
    Abstract: The present invention includes one wiring or a plurality of wirings and an MIM capacitor formed by capacity coupling of a lower electrode which is connected to an upper surface of the wiring(s) and an upper electrode. The lower electrode is comprised of a material preventive of diffusion of a material of the wiring(s), and it embraces the wiring(s).
    Type: Grant
    Filed: October 21, 2002
    Date of Patent: February 21, 2006
    Assignee: Fujitsu Limited
    Inventor: Makoto Yasuda
  • Patent number: 6969911
    Abstract: In a wiring structure of a semiconductor device, dielectric tolerance of the wiring is improved by preventing diffusion of the wiring material. The wiring structure of the semiconductor device includes a first insulating film having plural grooves, plural wiring films formed protrusively above tops of the first insulating film among the grooves, plural barrier films formed on bottoms of the wiring films and up to a higher position than the tops on sides of the wiring films, first cap films including metal films formed on tops of the wiring films, and a second cap film formed on at least respective sides of the first cap films and the barrier films.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: November 29, 2005
    Assignee: Oki Electric Industry Co., Ltd.
    Inventor: Kazuhide Abe
  • Patent number: 6964921
    Abstract: Disclosed herein is a method for forming a bit line of a flash device capable of reducing loss of an interlayer insulation film between the bit line patterns. The method includes forming a bit line metal hard-mask pattern prior to forming a bit line mask pattern, preventing an interval between the bit lines from being reduced by controlling conditions of a cleaning process prior to forming a metal film. The method obviates an additional process of removing the metal hard-mask film since the metal hard-mask film is also removed at the same time of carrying out a bit line planarization process.
    Type: Grant
    Filed: December 12, 2003
    Date of Patent: November 15, 2005
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Seok Lee
  • Patent number: 6960831
    Abstract: A semiconductor device, and a method of fabricating the device, having a copper wiring level and an aluminum bond pad above the copper wiring level. In addition to a barrier layer which is normally present to protect the copper wiring level, there is a composite layer between the aluminum bond pad and the barrier layer to make the aluminum bond pad more robust so as to withstand the forces of bonding and probing. The composite layer is a sandwich of a refractory metal and a refractory metal nitride.
    Type: Grant
    Filed: September 25, 2003
    Date of Patent: November 1, 2005
    Assignee: International Business Machines Corporation
    Inventors: Lloyd G. Burrell, Kwong H. Wong, Adreanne A. Kelly, Samuel R. McKnight
  • Patent number: 6960836
    Abstract: Disclosed herein is a reinforcing system and method for reinforcing a contact pad of an integrated circuit. Specifically exemplified is a system and method that comprises a reinforcing structure interposed between a top contact pad layer and an underlying metal layer.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: November 1, 2005
    Assignee: Agere Systems, Inc.
    Inventors: Mark Adam Bachman, Daniel Patrick Chesire, Sailesh Mansinh Merchant, John William Osenbach, Kurt George Steiner
  • Patent number: 6955986
    Abstract: A process produces a layer of material which functions as a copper barrier layer, adhesion layer and a copper seed layer in a device of an integrated circuit, particularly in damascene or dual damascene structures. The method includes a step of depositing a diffusion barrier layer over a dielectric, a step of depositing a layer of graded metal alloy of two or more metals, and a step of depositing a copper seed layer, which step is essentially a part of the step of depositing the alloy layer.
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: October 18, 2005
    Assignee: ASM International N.V.
    Inventor: Wei-Min Li
  • Patent number: 6949457
    Abstract: A method of forming an electrically conductive via. A first electrically conductive layer is formed, and a second layer is formed on the first layer. The second layer has desired barrier layer properties. A third non electrically conductive layer is formed on the second layer. A via hole is etched through the third layer, thereby exposing a portion of the second layer at the bottom of the via hole. The exposed portion of the second layer at the bottom of the via hole is redistributed so that at least a portion of the second layer is removed from the bottom of the via hole and deposited on lower portions of the sidewalls of the via hole. A fourth electrically conductive layer is formed within the via hole to form the electrically conductive via.
    Type: Grant
    Filed: January 21, 2004
    Date of Patent: September 27, 2005
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Robert W. Fiordalice, Faivel Pintchovski
  • Patent number: 6946681
    Abstract: The Mo or MoW composition layer has a low resistivity of less than 15 ??cm and is etched to have a smooth taper angle using an Al alloy enchant or a Cr enchant, and the Mo or MoW layer is used for a wiring of a display or a semiconductor display along with an Al layer or a Cr layer. Since the Mo or MoW layer can be deposited so as to give low stress to the substrate by adjusting the deposition pressure, a single MoW layer can be used as a wiring by itself. When contact holes are formed in the passivation layer or the gate insulating layer, a lateral etch is reduced by using polymer layer, an etch gas system using CF4+O2 can prevent the etch of the Mo or MoW alloy layer, and an etch gas of SF6+HCl (+He) or SF6+Cl2 (+He) can form the edge profile of contact holes to be smoothed.
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: September 20, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Oh Jeong, Yang-Sun Kim, Myung-Koo Hur, Young-Jae Tak, Mun-Pyo Hong, Chi-Woo Kim, Jang-Soo Kim, Chun-Gi You
  • Patent number: 6940172
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: September 6, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland
  • Patent number: 6936923
    Abstract: A new method and processing sequence is provided for the creation of interconnect bumps. A layer of passivation is deposited over a contact pad and patterned, creating an opening in the layer of passivation that aligns with the contact pad. A layer of UBM metal is deposited over the layer of passivation, the layer of UBM is overlying the contact pad and limited to the immediate surroundings of the contact pad. The central surface of the layer of UBM is selectively electroplated after which a layer of solder or solder alloy is solder printed over the electroplated surface of the layer of UBM. A solder flux or paste is applied over the surface of the solder printed solder compound or solder alloy. Flowing of the solder or solder alloy creates the solder bump of the invention.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: August 30, 2005
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Wei Lin, Cheng-Yu Chu, Yen-Ming Chen, Yang-Tung Fan, Fu-Jier Fan, Chiou Shian Peng, Shih-Jang Lin
  • Patent number: 6924554
    Abstract: A wirebond structure includes a copper pad formed on or in a surface of a microelectronic die. A conductive layer is included in contact with the copper pad and a bond wire is bonded to the conductive layer. The conductive layer is formed of a material to provide a stable contact between the bond wire and the copper pad in at least one of an oxidizing environment and an environment with temperatures up to at least about 350° C.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: August 2, 2005
    Assignee: Intel Corporation
    Inventors: Robert J. Gleixner, Donald Danielson, Patrick M. Paluda, Rajan Naik
  • Patent number: 6906420
    Abstract: The semiconductor device of the present invention includes: a substrate; a first conductor film supported by the substrate; an insulating film formed on the substrate to cover the first conductor film, an opening being formed in the insulating film; and a second conductor film, which is formed within the opening of the insulating film and is in electrical contact with the first conductor film. The second conductor film includes: a silicon-containing titanium nitride layer formed within the opening of the insulating film; and a metal layer formed over the silicon-containing titanium nitride layer. The metal layer is mainly composed of copper.
    Type: Grant
    Filed: December 18, 2003
    Date of Patent: June 14, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Takeshi Harada
  • Patent number: 6903462
    Abstract: A titanium layer is formed on a substrate with chemical vapor deposition (CVD). First, a seed layer is formed on the substrate by combining a first precursor with a reducing agent by CVD. Then, the titanium layer is formed on the substrate by combining a second precursor with the seed layer by CVD. The titanium layer is used to form contacts to active areas of substrate and for the formation of interlevel vias.
    Type: Grant
    Filed: August 28, 2001
    Date of Patent: June 7, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Donald L. Westmoreland
  • Patent number: 6900539
    Abstract: A semiconductor device comprises a first Cu interconnect layer, an interlayer insulation film formed thereon, a via hole formed in the interlayer insulation film to expose a part of the first Cu interconnect layer and a Cu via formed within the via hole and connected to the first Cu interconnect layer. A TaN barrier film and a Ta barrier film are laminated on the side surface of the Cu via, and only the Ta barrier film is formed under the bottom surface thereof. The adherence between the TaN barrier film and the interlayer insulation film is strong, and the adherence between the Ta barrier film and copper is strong. Both the barrier films prevent Cu contamination due to diffusion of Cu and at the same time, enhance adherence between Cu and the interlayer insulation film at the side surface of the Cu via to prevent removal of the Cu via.
    Type: Grant
    Filed: October 17, 2002
    Date of Patent: May 31, 2005
    Assignee: NEC Electronics Corporation
    Inventor: Koichi Motoyama
  • Patent number: 6894311
    Abstract: An active matrix substrate comprises a matrix array of TFTs. A double-layered film includes an under-layer of aluminum-neodymium (Al—Nd) alloy and an over-layer of high melting point metal. The double-layered film forms first interconnection lines for connection to the TFTs. A triple-layered film includes an under-layer of said high melting point metal, a middle-layer of said Al—Nd alloy and an over-layer of the high melting point metal. The triple-layered film forms second interconnection lines for connection to the TFTs.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: May 17, 2005
    Assignee: NEC LCD Technologies, Ltd.
    Inventors: Akitoshi Maeda, Hiroaki Tanaka, Shigeru Kimura, Satoshi Kimura
  • Patent number: 6894334
    Abstract: Herein disclosed is a semiconductor integrated circuit device fabricating process for forming MISFETs over the principal surface in those active regions of a substrate, which are surrounded by inactive regions formed of an element separating insulating film and channel stopper regions, comprising: the step of for forming a first mask by a non-oxidizable mask and an etching mask sequentially over the principal surface of the active regions of the substrate; the step of forming a second mask on and in self-alignment with the side walls of the first mask by a non-oxidizable mask thinner than the non-oxidizable mask of the first mask and an etching mask respectively; the step of etching the principal surface of the inactive regions of the substrate by using the first mask and the second mask; the step of forming the element separating insulating film over the principal surface of the inactive regions of the substrate by an oxidization using the first mask and the second mask; and the step of forming the channel s
    Type: Grant
    Filed: March 3, 2003
    Date of Patent: May 17, 2005
    Assignees: Hitachi, Ltd., Hitachi VLSI Engineering Corp.
    Inventors: Jun Sugiura, Osamu Tsuchiya, Makoto Ogasawara, Fumio Ootsuka, Kazuyoshi Torii, Isamu Asano, Nobuo Owada, Mitsuaki Horiuchi, Tsuyoshi Tamaru, Hideo Aoki, Nobuhiro Otsuka, Seiichirou Shirai, Masakazu Sagawa, Yoshihiro Ikeda, Masatoshi Tsuneoka, Toru Kaga, Tomotsugu Shimmyo, Hidetsugu Ogishi, Osamu Kasahara, Hiromichi Enami, Atsushi Wakahara, Hiroyuki Akimori, Sinichi Suzuki, Keisuke Funatsu, Yoshinao Kawasaki, Tunehiko Tubone, Takayoshi Kogano, Ken Tsugane
  • Patent number: 6891274
    Abstract: An under-bump-metallurgy layer is provided. The under-bump-metallurgy layer is formed over the contact pad of a chip and a welding lump is formed over the under-ball-metallurgy layer. The under-bump-metallurgy layer comprises an adhesion layer, a barrier layer and a wettable layer. The adhesion layer is directly formed over the contact pad. The barrier layer made from a material such as nickel-vanadium alloy is formed over the adhesion layer. The wettable layer made from a material such as copper is formed over the barrier layer. The wettable layer has an overall thickness that ranges from about 3 ?m to about 8 ?m.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: May 10, 2005
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: William Tze-You Chen, Ho-Ming Tong, Chun-Chi Lee, Su Tao, Jeng-Da Wu, Chih-Huang Chang, Po-Jen Cheng
  • Patent number: RE39932
    Abstract: A plurality of metal wires are formed on an underlying interlayer insulating film. Areas among the metal wires are filled with a buried insulating film of a silicon oxide film with a small dielectric constant (i.e., a first dielectric film), and thus, a parasitic capacitance of the metal wires can be decreased. On the buried insulating film, a passivation film of a silicon nitride film with high moisture absorption resistance (i.e., a second dielectric film) is formed, and thus, a coverage defect can be avoided. A bonding pad is buried in an opening formed in a part of a surface protecting film including the buried insulating film and the passivation film, so as not to expose the buried insulating film within the opening. Thus, moisture absorption through the opening can be prevented.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: December 4, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshiki Yabu, Mizuki Segawa