Alloy Containing Molybdenum, Titanium, Or Tungsten Patents (Class 257/764)
  • Patent number: 6888252
    Abstract: Conductive contacts in a semiconductor structure, and methods for forming the conductive components are provided. The method comprises depositing a conductive material over a substrate to fill a contact opening, removing excess material from the substrate leaving the contact within the opening, and then heating treating the contact at a high temperature, preferably with a rapid thermal anneal process, in a reactive gas to remove an undesirable component from the contact, for example, thermal annealing a TiCl4-based titanium nitride in ammonia to remove chlorine from the contact, which can be corrosive to an overlying aluminum interconnect at a high concentration. The contacts are useful for providing electrical connection to active components in integrated circuits such as memory devices. In an embodiment of the invention, the contacts comprise boron-doped and/or undoped TiCl4-based titanium nitride having a low concentration of chlorine.
    Type: Grant
    Filed: May 7, 2003
    Date of Patent: May 3, 2005
    Assignee: Micron Technology, Inc.
    Inventor: Ammar Derraa
  • Patent number: 6885103
    Abstract: A method for manufacturing a semiconductor device can simply form a silicide film for reducing ohmic contact between a metal line and a substrate and a ternary phase thin film as an amorphous diffusion prevention film between a metal line and the silicide film. The method for manufacturing a semiconductor device includes the steps of sequentially forming a first refractory metal and a second refractory metal on a semiconductor substrate, forming a silicide film on an interface between the semiconductor substrate and the first refractory metal, and reacting the semiconductor substrate with the first and second refractory metals on the silicide film to form a ternary phase thin film.
    Type: Grant
    Filed: July 17, 2003
    Date of Patent: April 26, 2005
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Dong Kyun Sohn, Ji Soo Park, Jong Uk Bae
  • Patent number: 6879043
    Abstract: The electrode structure of this invention includes a silicon-containing film containing silicon as a principal constituent; a barrier metal layer of titanium nitride rich in titanium as compared with a stoichiometric ratio formed on the silicon-containing film; and a metal film with a high melting point formed on the barrier metal layer.
    Type: Grant
    Filed: August 7, 2001
    Date of Patent: April 12, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Michikazu Matsumoto, Naohisa Sengoku
  • Patent number: 6879042
    Abstract: In a semiconductor device, an interlevel insulating film formed between a Cu interconnection, formed by damascene, and an upper metal interconnection layer on it has a multilayered structure made up of a Cu diffusion preventive insulating layer and another insulating film. The Cu diffusion preventive insulating layer has a multilayered structure made up of not less than two layers. A method for manufacturing the semiconductor device is also disclosed.
    Type: Grant
    Filed: June 19, 2001
    Date of Patent: April 12, 2005
    Assignee: NEC Electronics Corporation
    Inventors: Koichi Ohto, Takayuki Matsui
  • Patent number: 6876083
    Abstract: An electrolytic capacitor including one type of electrode selected from a group consisting of an electrode of at least one type of alloy selected from a group consisting of niobium alloy, titanium alloy, and tungsten alloy, an electrode of mixed sinter of niobium and aluminum, or a fluorine-doped electrode of niobium or niobium alloy and on a surface of each electrode a dielectric layer is formed by anodizing the electrode.
    Type: Grant
    Filed: July 3, 2003
    Date of Patent: April 5, 2005
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Mutsumi Yano, Kazuhiro Takatani, Mamoru Kimoto
  • Patent number: 6873048
    Abstract: A dual-gate MOSFET with metal gates and a method for setting threshold voltage in such a MOSFET is provided. The method comprises: forming a gate oxide layer overlying first and second channel regions; forming a first metal layer having a first thickness overlying the gate oxide layer; forming a second metal layer having a second thickness overlying the first metal layer first thickness; selectively removing the second metal layer overlying the first channel region; forming a third metal layer; establishing a first MOSFET with a gate work function responsive to the thicknesses of the first and third metal layer overlying the first channel region; and, establishing a second MOSFET, complementary to the first MOSFET, with a gate work function responsive to the combination of the thicknesses of the first, second, and third metal layers overlying the second channel region.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: March 29, 2005
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Wei Gao, John F. Conley, Jr., Yoshi Ono
  • Patent number: 6873052
    Abstract: An organic-inorganic hybrid film is deposited on a substrate by introducing, into a vacuum chamber, a gas mixture of a silicon alkoxide and an organic compound and generating a plasma derived from the gas mixture. Then, a hydrogen plasma process is performed with respect to the organic-inorganic hybrid film by introducing, into the vacuum chamber, a gas containing a reducing gas and generating a plasma derived from the gas. As a result, an organic component in the organic-inorganic hybrid film eliminates therefrom and numerous fine holes are formed in hollow portions from which the organic component has eliminated, whereby a porous film composed of the organic-inorganic hybrid film is obtained.
    Type: Grant
    Filed: June 30, 2003
    Date of Patent: March 29, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Nobuo Aoi
  • Patent number: 6867448
    Abstract: A method of patterning a metal surface by electro-mechanical polishing is disclosed. A metal surface is placed in fluid communication with an abrasive surface of a pad. The two surfaces are moved relative to each other, in acidic fluid which contains abrasive particles. An electrical circuit is formed between the metal surface and abrasive pad and a current is supplied to the circuit. The patterned surface then is processed into a useful feature such as a bottom electrode for a DRAM capacitor.
    Type: Grant
    Filed: August 31, 2000
    Date of Patent: March 15, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Whonchee Lee, Scott Meikle
  • Patent number: 6856018
    Abstract: In order to form an aluminum system wiring that does not peel off on an insulating film containing fluorine and to improve the reliability thereof, a semiconductor device according to the present invention includes an insulating film (14) containing fluorine formed on a substrate (11), a titanium aluminum alloy film (17a) formed on the insulating film (14) containing fluorine, and a metallic film (17b) comprising aluminum or an aluminum alloy formed on the titanium aluminum alloy film (17a).
    Type: Grant
    Filed: December 8, 2001
    Date of Patent: February 15, 2005
    Assignee: Sony Corporation
    Inventors: Yoshiyuki Enomoto, Ryuichi Kanamura
  • Patent number: 6828680
    Abstract: In an integrated circuit configuration, above a first conductive structure which is embedded in a first insulating layer there are arranged a first barrier layer and a second insulating layer, in which a contact hole is provided which reaches down to the first conductive structure. Above the first barrier layer, the side walls of the contact hole are provided with spacers which act as a diffusion barrier and which reach down to the surface of the first barrier layer. A second conductive structure is arranged in the contact hole. The second conductive structure is conductively connected to the first conductive structure. During the production of the contact hole, the spacers prevent deposition of material from the first conductive structure on the surface of the second insulating layer.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: December 7, 2004
    Assignee: Infineon Technologies AG
    Inventor: Manfred Engelhardt
  • Patent number: 6822328
    Abstract: The invention includes integrated circuitry having an electrically insulative layer over a substrate and an opening within the electrically insulative layer. The opening has a periphery defined at least in part by a bottom surface and a sidewall surface. A first titanium layer is disposed within the opening in contact with the bottom surface and is thicker along the bottom surface than along the sidewall. A layer of TiN is provided over the first titanium layer along the bottom surface and along the sidewall surface of the opening, and a second layer of titanium is disposed over the electrically insulative layer but substantially not within the opening. The second titanium layer has a thickness of less then 50 Å along the sidewall surface and over the bottom surface. An aluminum-comprising layer is within the opening and over the second layer.
    Type: Grant
    Filed: August 27, 2002
    Date of Patent: November 23, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Ravi Iyer
  • Patent number: 6819002
    Abstract: An under-ball-metallurgy layer between a bonding pad on a chip and a solder bump made with tin-based material is provided. The under-ball-metallurgy layer at least includes an adhesion layer over the bonding pad, a nickel-vanadium layer over the adhesion layer, a wettable layer over the nickel-vanadium layer and a barrier layer over the wettable layer. The barrier layer prevents the penetration of nickel atoms from the nickel-vanadium layer and reacts with tin within the solder bump to form inter-metallic compound. This invention also provides an alternative under-ball-metallurgy layer that at least includes an adhesion layer over the bonding pad, a wettable layer over the adhesion layer and a nickel-vanadium layer over the wettable layer. The nickel within the nickel-vanadium layer may react with tin within the solder bump to form an inter-metallic compound.
    Type: Grant
    Filed: August 18, 2003
    Date of Patent: November 16, 2004
    Assignee: Advanced Semiconductor Engineering, Inc.
    Inventors: William Tze-You Chen, Ho-Ming Tong, Chun-Chi Lee, Su Tao, Jeng-Da Wu, Chih-Huang Chang, Po-Jen Cheng
  • Patent number: 6818991
    Abstract: The present invention provides an electrically conductive layer comprising a copper alloy which includes at least one of Ag, As, Bi, P, Sb, Si, and Ti in the range of not less than 0.1 percent by weight to not more than a maximum solubility limit to copper, so that the copper alloy is in a solid solution and/or which includes at least one of Mo, Ta and W in a range of not less than 0.1 percent by weight to not more than 1 percent by weight.
    Type: Grant
    Filed: June 1, 2000
    Date of Patent: November 16, 2004
    Assignee: NEC Electronics Corporation
    Inventor: Kuniko Kikuta
  • Patent number: 6806573
    Abstract: An alloy or composite is deposited in a recess feature of a semiconductor substrate by sputtering an alloy or composite target into a recess, to form a first layer of deposited material. The first layer of deposited material is resputtered at a low angle and low energy, to redeposit the first layer of deposited material onto the bottom of the recess as a second layer of deposited material having a different stoichiometry than that of the first deposited material. In a further embodiment, a sputtering chamber ambient is comprised of argon and nitrogen. In yet a further embodiment, the resputtering step is followed by deposition of at least one layer of material with a different stoichiometry than that of the second deposited layer, to form a “graded” stoichiometry of material deposited in the recess.
    Type: Grant
    Filed: April 5, 2001
    Date of Patent: October 19, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Yongjun Hu
  • Patent number: 6794757
    Abstract: The contact opening through an insulating layer is formed having a straight sidewall portion and a bowl shaped sidewall portion. The bowl shaped sidewall portion is near the top of the insulation layer to provide an enlarged diameter of the contact opening at the top relative to the bottom. A conductive material is then formed in the contact opening in electrical contact with a lower conductive layer. The conductive material forms a plug having an enlarged head, such as a nail head or a flat heat screw shape. The enlarged head protects the silicon and a barrier layer, if present, within the contact from being etched by any subsequent anisotropic etches. Thus, when an electrical interconnection layer such as aluminum is formed overlying the contact plug, the plug acts as an etch stop to prevent etching of a barrier layer of the barrier layer within the contact opening.
    Type: Grant
    Filed: May 30, 1997
    Date of Patent: September 21, 2004
    Assignee: STMicroelectronics, Inc.
    Inventor: Gregory C. Smith
  • Patent number: 6784543
    Abstract: A structure in which a phosphorus-nickel layer, a rich phosphorus nickel layer that contains phosphorus or boron higher than this phosphorus-nickel layer, a nickel-tin ally layer, a tin-rich tin alloy layer, and a tin alloy solder layer are formed in sequence on an electrode. Accordingly, adhesiveness between a metal pattern used as the electrode, the wiring, or the pad and the solder can be improved.
    Type: Grant
    Filed: February 28, 2003
    Date of Patent: August 31, 2004
    Assignee: Fujitsu Limited
    Inventors: Hirohisa Matsuki, Yutaka Makino, Masamitsu Ikumo, Mitsutaka Sato, Tetsuya Fujisawa, Yoshitaka Aiba
  • Patent number: 6777807
    Abstract: A method of forming a metal interconnect in an integrated circuit. A copper layer is formed over dielectric structures on the integrated circuit, where the dielectric structures have an upper level. The copper layer is planarized to be no higher than the upper level of the dielectric structures, without reducing the upper level of the dielectric structures. An electrically conductive capping layer is formed over all of the copper layer, without the capping layer forming over any of the dielectric structures.
    Type: Grant
    Filed: May 29, 2003
    Date of Patent: August 17, 2004
    Assignee: LSI Logic Corporation
    Inventors: Valeriy Sukharev, Wilbur G. Catabay, Hongqiang Lu
  • Patent number: 6777810
    Abstract: An interconnection of an aluminum-copper-titanium alloy containing about 0.1 atomic percent titanium.
    Type: Grant
    Filed: February 19, 1999
    Date of Patent: August 17, 2004
    Assignee: Intel Corporation
    Inventors: Donald S. Gardner, Thomas N. Marieb
  • Patent number: 6774495
    Abstract: A solder terminal and a fabrication method thereof are provided. According to one embodiment of the present invention, a solder terminal structure includes an adhesion metal layer formed on an electrode pad of a semiconductor device, a thermal diffusion barrier, a solder bonding layer, and a solder bump formed on upper portion of the solder bonding layer. With the thermal diffusion layer, the characteristic deterioration caused by the probe mark generated on the electrode pad can be prevented during a semiconductor reliability test, and at the same time, material movement between the layers of the electrode pad, the solder bonding layer and the adhesion metal layer can be reduced. Also, by having the thermal diffusion barrier act as a solder dam (a layer to confine the melted solder area to prevent the solder from being wetted), an additional deposition or etching process can be omitted.
    Type: Grant
    Filed: October 23, 2002
    Date of Patent: August 10, 2004
    Assignee: CCUBE Digital Co., Ltd.
    Inventor: Jong-Heon Kim
  • Patent number: 6774487
    Abstract: A first layer of titanium nitride (TiN) is formed on a semiconductor structure, such as an interconnect via. Then, a second layer of TiN is formed on the first layer of TiN. The first layer of TiN is amorphous. The second layer of TiN is polycrystalline, having a mixed grain orientation. Finally, an aluminum film is formed on the second layer of titanium nitride. Optionally, a titanium silicide layer is formed on the semiconductor structure prior to the step of forming the first layer of titanium nitride. Interconnects formed according to the invention have polycrystalline aluminum films with grain sizes of approximately less than 0.25 microns.
    Type: Grant
    Filed: February 13, 2001
    Date of Patent: August 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Wing-Cheong Gilbert Lai, Gurtej Singh Sandhu
  • Patent number: 6770972
    Abstract: A method for forming within a substrate employed within a microelectronics fabrication an electrical interconnection cross-over bridging between conductive regions separated by non-conductive regions formed within the substrate. There is formed over a substrate provided with conductive and non-conductive regions a blanket dielectric layer and a blanket polysilicon layer. After patterning the polysilicon and dielectric layers. A portion of the dielectric layer at the periphery of the polysilicon layer is etched away, leaving a gap between the polysilicon patterned layer and the underlying substrate contact region. There is formed over the substrate a layer of refractory metal and after rapid thermal annealing, there is formed a surface layer of refractory metal silicide over the surfaces of the polysilicon layer and within the gap between the polysilicon layer and the substrate, completing the electrical connection.
    Type: Grant
    Filed: November 12, 2002
    Date of Patent: August 3, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Shih-der Tseng, Kuo-Ho Jao
  • Patent number: 6770974
    Abstract: The present invention relates to a semiconductor device in which a capacitance element is mounted on a semiconductor substrate as well as a method of fabricating the device. According to the present invention, a substantial lower electrode is formed on a semiconductor substrate through a first insulation film; a peripheral electrode, i.e. the periphery of the lower electrode or a dummy electrode, which has the surface higher than the surface of the lower electrode being formed integrally with or separately from the lower electrode; an upper electrode being formed on the lower electrode through a dielectric film; a capacitance element being formed so that at least the surface of the dielectric film may lie on a level lower than the surface of the peripheral electrode; and a recess surrounded by the peripheral electrode being filled with a smoothing film.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: August 3, 2004
    Assignee: Sony Corporation
    Inventor: Hirokazu Ejiri
  • Patent number: 6768198
    Abstract: A system and method for removing a conductive line from a semiconductor device is disclosed. The conductive line includes a conductive layer and a barrier layer separating the conductive layer from a portion of the semiconductor device. The method and system include exposing a portion of the barrier layer, etching the barrier layer after the barrier layer has been exposed, and lifting off the conductive layer after the barrier layer has been etched.
    Type: Grant
    Filed: November 17, 1998
    Date of Patent: July 27, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Richard C. Blish, II, Mohammad Massoodi
  • Publication number: 20040140567
    Abstract: In order to form an aluminum system wiring that does not peel off on an insulating film containing fluorine and to improve the reliability thereof, a semiconductor device according to the present invention includes an insulating film (14) containing fluorine formed on a substrate (11), a titanium aluminum alloy film (17a) formed on the insulating film (14) containing fluorine, and a metallic film (17b) comprising aluminum or an aluminum alloy formed on the titanium aluminum alloy film (17a).
    Type: Application
    Filed: January 13, 2004
    Publication date: July 22, 2004
    Inventors: Yoshiyuki Enomoto, Ryuichi Kanamura
  • Publication number: 20040140566
    Abstract: The Mo or MoW composition layer has a low resistivity of less than 15 &mgr;&OHgr;cm and is etched to have a smooth taper angle using an Al alloy enchant or a Cr enchant, and the Mo or MoW layer is used for a wiring of a display or a semiconductor display along with an Al layer or a Cr layer. Since the Mo or MoW layer can be deposited so as to give low stress to the substrate by adjusting the deposition pressure, a single MoW layer can be used as a wiring by itself. When contact holes are formed in the passivation layer or the gate insulating layer, a lateral etch is reduced by using polymer layer, an etch gas system using CF4+O2 can prevent the etch of the Mo or MoW alloy layer, and an etch gas of SF6+HCl (+He) or SF6+Cl2 (+He) can form the edge profile of contact holes to be smoothed.
    Type: Application
    Filed: December 11, 2003
    Publication date: July 22, 2004
    Inventors: Chang-Oh Jeong, Yang-Sun Kim, Myung-Koo Hur, Young-Jae Tak, Mun-Pyo Hong, Chi-Woo Kim, Jang-Soo Kim, Chun-Gi You
  • Patent number: 6765277
    Abstract: Within a method for fabricating a microelectronic, and a microelectronic fabrication fabricated in accord with the method, there is formed upon a bond pad formed over a substrate a conductor passivation layer. Within the method and the microelectronic fabrication, the bond pad is formed from a conductor material selected from the group consisting of aluminum and aluminum alloy conductor materials, and the conductor passivation layer is formed from a noble metal conductor material. The invention provides particular value for fabricating color filter sensor image array optoelectronic microelectronic fabrications with attenuated bond pad corrosion.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: July 20, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yen-Ming Chen, Chia-Fu Lin, Yang-Tung Fan, Hong-Wen Huang, Cheng-Yu Chu
  • Patent number: 6759332
    Abstract: A method (and structure) of forming an interconnect on a semiconductor substrate, includes forming a relatively narrow first structure in a dielectric formed on a semiconductor substrate, forming a relatively wider second structure in the dielectric formed on the semiconductor substrate, forming a liner in the first and second structures such that the first structure is substantially filled and the second structure is substantially unfilled, and forming a metallization over the liner to completely fill the second structure.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: July 6, 2004
    Assignee: International Business Machines Corporation
    Inventors: Lawrence A. Clevenger, Larry A. Nesbit
  • Patent number: 6759683
    Abstract: A composite Pt/Ti/WSi/Ni Ohmic contact has been fabricated by a physical deposition process which uses electron beam evaporation and dc-sputter deposition. The Ni based composite Ohmic contact on n-SiC is rapid thermally annealed (RTA) at 950° C. to 1000° C. for 30s to provide excellent current-voltage characteristics, an abrupt, void free contact-SiC interface, retention of the as-deposited contact layer width, smooth surface morphology and an absence of residual carbon within the contact layer and/or at the Ohmic contact-SiC interface. The annealed produced Ni2Si interfacial phase is responsible for the superior electrical integrity of the Ohmic contact to n-SiC. The effects of contact delamination due to stress associated with interfacial voiding has been eliminated. Wire bonding failure, non-uniform current flow and SiC polytype alteration due to extreme surface roughness have also been abolished.
    Type: Grant
    Filed: August 27, 2001
    Date of Patent: July 6, 2004
    Assignee: The United States of America as represented by the Secretary of the Army
    Inventors: Melanie W. Cole, Pooran C. Joshi
  • Patent number: 6756138
    Abstract: A device having electrical and mechanical components. The device comprises multiple layers in which: a first layer or set of layers arranged is to function as one or more electrodes or conductors; and a second layer is arranged to function as one or more press contracts or wire contacts or wire bond pads. The second layer has different physical properties than the first layer, wherein the first layer or set of layers is relatively hard or tough and the second layer is relatively soft or malleable. A corresponding method is provided.
    Type: Grant
    Filed: November 13, 2000
    Date of Patent: June 29, 2004
    Assignee: Sensonor ASA
    Inventors: Henrik Jakobsen, Svein Moller Nilsen, Soheil Habibi, Timothy Lommasson
  • Patent number: 6753254
    Abstract: A method for forming a metallization layer. A first layer is formed outwardly from a semiconductor substrate. Contact vias are formed through the first layer to the semiconductor substrate. A second layer is formed outwardly from the first layer. Portions of the second layer are selectively removed such that the remaining portion of the second layer defines the layout of the metallization layer and the contact vias. The first and second layers are electroplated by applying a bi-polar modulated voltage having a positive duty cycle and a negative duty cycle to the layers in a solution containing metal ions. The voltage and surface potentials are selected such that the metal ions are deposited on the remaining portions of the second layer. Further, metal ions deposited on the first layer during a positive duty cycle are removed from the first layer during a negative duty cycle. Finally, exposed portions of the first layer are selectively removed.
    Type: Grant
    Filed: August 13, 2002
    Date of Patent: June 22, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Singh Sandhu, Chris Chang Yu
  • Patent number: 6753610
    Abstract: The object of the present invention is to provide a diffusion preventing film capable of inhibiting diffusion of Cu into an insulator film when Cu is used as a wiring material. This objective is attained by forming the diffusion preventing film from a crystalline WCN film. The WCN film, when subjected to X-ray diffraction, shows peaks at a first position between 36° to 38° and at a second position between 42° to 44°. The half-width of the peak at the first position is 3.2° or less, and the half-width of the peak at the second position is 2.6° or less. Since the WCN film has satisfactory coverage, it can form a thick barrier film in a concave with a high aspect ratio.
    Type: Grant
    Filed: September 7, 2000
    Date of Patent: June 22, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Noriaki Fukiage
  • Patent number: 6747354
    Abstract: A semiconductor device includes a first metal interconnection layer on a semiconductor substrate, an intermetal dielectric layer on the first metal interconnection layer and a second metal interconnection layer formed on the intermetal dielectric layer. A contact stud electrically connects the first and second metal interconnection layers through the intermetal dielectric layer, and includes a titanium/aluminum (TiAlx) core extending from the first metal interconnection layer toward the second metal interconnection layer. In method embodiments, a portion of an insulating layer of a semiconductor substrate is removed to form a hole that exposes an underlying conductive layer. A glue layer, e.g., a titanium (Ti) layer, is formed on bottom and sidewalls of the hole. A Ti seed layer is formed on the glue layer in the hole. An aluminum-containing layer is formed on the Ti seed layer. The substrate is thermally treated to form a contact stud including a TiAlx core.
    Type: Grant
    Filed: February 19, 2003
    Date of Patent: June 8, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Hyun-young Kim, In-sun Park, Hyeon-deok Lee
  • Patent number: 6747353
    Abstract: A barrier layer (20, 62) for an integrated circuit structure is disclosed. The barrier layer (20, 62) is a refractory metal silicon compound, such as a refractor metal silicon nitride compound, formed in an amorphous state. The barrier layer (20, 62) has a relatively low composition ratio of silicon, and of nitrogen if present, to provide low resistivity in combination with the high diffusion barrier properties provided by the amorphous state of the film. A disclosed example of the barrier layer (20, 62) is a compound of tantalum, silicon, and nitrogen, formed by controlled co-sputtering of tantalum and silicon in a gas atmosphere including nitrogen and argon. The barrier layer (20) may be used to underlie copper metallization (22), or the barrier layer (62) may be part or all of a lower plate in a ferroelectric memory capacitor (70).
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: June 8, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Munenori Oizumi, Katsuhiro Aoki, Yukio Fukuda
  • Patent number: 6727589
    Abstract: A method and structure for protecting a flowable oxide insulator in a semiconductor by oxidizing sidewalls of the FOX insulator, optionally nitridizing the oxidized FOX sidewalls, and then covering all surfaces of a trough or plurality of troughs in the FOX insulator, including the sidewalls, with a conductive secondary protective layer. In a multiple layer damascene structure, the surface of the FOX insulator is also oxidized, an additional oxide layer is deposited thereon, and a nitride layer deposited on the oxide layer. Then steps are repeated to obtain a comparable damascene structure. The materials can vary and each damascene layer may be either a single damascene or a dual damascene layer.
    Type: Grant
    Filed: November 30, 2000
    Date of Patent: April 27, 2004
    Assignee: International Business Machines Corporation
    Inventors: Stephen E. Greco, John P. Hummel, Joyce Liu, Vincent J. McGahay, Rebecca Mih, Kamalesh Srivastava
  • Patent number: 6727593
    Abstract: A semiconductor device, which is comprised of a copper wiring layer which is formed above a semiconductor substrate, a pad electrode layer which conducts electrically to the copper wiring layer and has an alloy, which contains copper and a metal whose oxidation tendency is higher than copper, formed to extend to the bottom surface, and an insulating protective film which has an opening extended to the pad electrode layer, is provided.
    Type: Grant
    Filed: February 28, 2002
    Date of Patent: April 27, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hiroshi Toyoda, Mitsuhiro Nakao, Masahiko Hasunuma, Hisashi Kaneko, Atsuko Sakata, Toshiaki Komukai
  • Patent number: 6720659
    Abstract: Insulating films 21 through 24 of CF films (fluorine-contained carbon films) are formed on a substrate (not shown). In addition, Cu wiring layers 25 and 26 are formed on the CF films 21 and 23 via an adhesion layer 29 which comprises a Ti layer and a TiC layer. By forming the insulating films 21 through 24 of CF films, Cu in the wiring layers is prevented from diffusing into the insulating films 21 through 24. The relative dielectric constant of the CF film is smaller than the relative dielectric constant of a BCB film.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: April 13, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Takashi Akahori
  • Patent number: 6717191
    Abstract: A typical air bridge is an aluminum conductor suspended across an air-filled cavity to connect two components of an integrated circuit, two transistors for example. The air-filled cavity has a low dielectric constant which reduces cross-talk between neighboring conductors and improves speed and efficiency of the integrated circuit. However, current air bridges must be kept short because typical aluminum conductors sag too much. Accordingly, one embodiment of the invention forms air-bridge conductors from an aluminum-beryllium alloy, which enhances stiffness and ultimately provides a 40-percent improvement in air-bridge lengths.
    Type: Grant
    Filed: January 21, 2003
    Date of Patent: April 6, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Publication number: 20040056353
    Abstract: A method for fabricating cell plugs of a semiconductor device with cell plugs is disclosed, which increases the operation speed of the semiconductor device by reducing the cell plug resistance of the device. The semiconductor device includes a first insulating interlayer on a semiconductor substrate; a first cell plug on the semiconductor substrate through the first insulating interlayer; a second insulating interlayer on the first insulating interlayer; a silicide contact on a predetermined surface of the first cell plug through the first insulating interlayer; and a second cell plug on the silicide contact through the second insulating interlayer.
    Type: Application
    Filed: October 31, 2003
    Publication date: March 25, 2004
    Applicant: Hynix Semiconductor Inc.
    Inventors: Yoon Jik Lee, Jeong Tae Kim
  • Patent number: 6710413
    Abstract: An improved borderless contact structure for salicide field effect transistors (FETs) has been achieved. Salicide FETs are formed on device areas surrounded by a shallow trench isolation (STI) using a first rapid thermal anneal to form a metal silicide on the source/drain contacts and the gate electrodes. An interlevel dielectric (ILD) layer is deposited, and borderless contact openings, extending over the STI, are etched in the ILD to the source/drain areas. When the contact openings are etched, this results in over-etched regions in the STI at the source/drain-STI interface that result in source/drain-to-substrate shorts when metal plugs are formed in the contact openings. A contact opening implant is used to dope the junction profile in the source/drain contact around the STI over-etched region to prevent electrical shorts. The second RTA is then used to concurrently reduce the silicide sheet resistance and to electrically activate the contact opening implanted dopant.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: March 23, 2004
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Kong-Beng Thei, Ming-Ta Lei, Shou-Gwo Wuu
  • Patent number: 6690077
    Abstract: Titanium aluminum nitrogen (“Ti—Al—N”) is deposited onto a semiconductor substrate area to serve as an antireflective coating. For wiring line fabrication processes, the Ti—Al—N layer serves as a cap layer which prevents unwanted reflection of photolithography light (i.e., photons) during fabrication. For field emission display devices (FEDs), the Ti—Al—N layer prevents light originating at the display screen anode from penetrating transistor junctions that would hinder device operation. For the wiring line embodiment an aluminum conductive layer and a titanium-aluminum underlayer are formed beneath the antireflective cap layer. The Ti—Al underlayer reduces the shrinkage which occurs in the aluminum conductive layer during heat treatment.
    Type: Grant
    Filed: March 17, 2000
    Date of Patent: February 10, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Everett A. McTeer, Russell C. Zahorik, Scott G. Meikle
  • Patent number: 6686282
    Abstract: Using plating, metal gates for N channel and P channel transistors are formed of different materials to achieve the appropriate work function for these N and P channel transistors. The plating is achieved with a seed layer consistent with the growth of the desired layer. The preferred materials are selected from the platinum metals, which comprise ruthenium, ruthenium oxide, iridium, palladium, platinum, nickel, osmium, and cobalt. These are attractive metals because they are relatively high conductivity, can be plated, and provide a good choice of work functions for forming P and N channel transistors.
    Type: Grant
    Filed: March 31, 2003
    Date of Patent: February 3, 2004
    Assignee: Motorola, Inc.
    Inventors: Cindy Simpson, Hsing H. Tseng, Olubunmi O. Adetutu
  • Patent number: 6686606
    Abstract: The Mo or MoW composition layer has a low resistivity of less than 15 &mgr;&OHgr;cm and is etched to have a smooth taper angle using an Al alloy enchant or a Cr enchant, and the Mo or MoW layer is used for a wiring of a display or a semiconductor display along with an Al layer or a Cr layer. Since the Mo or MoW layer can be deposited so as to give low stress to the substrate by adjusting the deposition pressure, a single MoW layer can be used as a wiring by itself. When contact holes are formed in the passivation layer or the gate insulating layer, a lateral etch is reduced by using polymer layer, an etch gas system using CF4+O2 can prevent the etch of the Mo or MoW alloy layer, and an etch gas of SF6+HCl (+He) or SF6+Cl2 (+He) can form the edge profile of contact holes to be smoothed.
    Type: Grant
    Filed: March 18, 2003
    Date of Patent: February 3, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chang-Oh Jeong, Yang-Sun Kim, Myung-Koo Hur, Young-Jae Tak, Mun-Pyo Hong, Chi-Woo Kim, Jang-Soo Kim, Chua-Gi You
  • Patent number: 6683383
    Abstract: A wirebond structure includes a copper pad formed on or in a surface of a microelectronic die. A conductive layer is included in contact with the copper pad and a bond wire is bonded to the conductive layer. The conductive layer is formed of a material to provide a stable contact between the bond wire and the copper pad in at least one of an oxidizing environment and an environment with temperatures up to at least about 350° C.
    Type: Grant
    Filed: October 18, 2001
    Date of Patent: January 27, 2004
    Assignee: Intel Corporation
    Inventors: Robert J. Gleixner, Donald Danielson, Patrick M. Paluda, Rajan Naik
  • Patent number: 6677638
    Abstract: Disclosed is a nonvolatile memory device comprising a semiconductor substrate defining first and second active regions arranged in one direction; a first gate insulating layer and a floating gate deposited on the first and second active regions in a predetermined pattern; a second gate insulating layer and a control gate line deposited in one direction perpendicular to the first and second active regions and covering the floating gate; first impurity regions formed in the first and second active regions at one side of the control gate line; second impurity regions formed in the first and second active regions at other side of the control gate line; first contact plugs contacted with the first impurity regions; and a common conductive line formed in one direction on the semiconductor substrate at the other side of the control gate line, for connecting the second impurity regions of the first and second active regions.
    Type: Grant
    Filed: May 7, 2002
    Date of Patent: January 13, 2004
    Assignee: Hyundai Electronics Industries Co., Ltd.
    Inventors: Jae Seung Choi, Sang Bae Yi
  • Patent number: 6677647
    Abstract: The electromigration characteristics of patterned metal features, such as metal lines, in semiconductor devices is improved by applying a conductive layer to substantially surround and encapsulate the patterned metal features. A portion of the conductive layer may be removed to form conductive sidewall spacers on the side surfaces of the patterned metal features. In an embodiment of the invention, the conductive layer comprises a first layer of titanium and a second layer of titanium-nitride thereon.
    Type: Grant
    Filed: September 15, 1998
    Date of Patent: January 13, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Robert Dawson
  • Patent number: 6674169
    Abstract: A semiconductor device comprised of a substantially conformal layer of titanium silicon oxide deposited on a semiconductor substrate. The layer of titanium silicon oxide is substantially free of chlorine related impurities. The layer of titanium silicon oxide may have a ratio of silicon to titanium from about 0.1 to about 1.9. The layer of titanium silicon oxide may have a dielectric constant from about 10 to about 30, and a thickness from about 15 angstroms to about 500 angstroms.
    Type: Grant
    Filed: September 24, 2001
    Date of Patent: January 6, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Pierre Fazan
  • Patent number: 6674171
    Abstract: An impurity region is formed on the surface of a semiconductor substrate. An insulating layer is provided on the semiconductor substrate to cover the impurity region. A trench for defining a wiring layer is provided on the surface of the insulating layer. A connection hole is provided in the insulating layer for connecting the trench and the impurity region with each other. A conductive layer made of a high melting point metal or a compound thereof is embedded in the connection hole. A copper wire is formed in the trench to be connected to the conductive layer. According to the present invention, a semiconductor device improved to be capable of implementing an excellent wiring circuit and providing a highly integrated semiconductor circuit is obtained.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: January 6, 2004
    Assignee: Renesas Technology Corp.
    Inventor: Sumio Yamaguchi
  • Patent number: 6670716
    Abstract: Silicon-on-insulator (SOI) semiconductor structures are provided for implementing transistor source connections for SOI transistor devices using buried dual rail distribution. A SOI semiconductor structure includes a SOI transistor having a silicide layer covering a SOI transistor source, a predefined buried conduction layer to be connected to a SOI transistor source, and an intermediate conduction layer between the SOI transistor and the predefined buried conduction layer. A first hole for a transistor source connection to a local interconnect is anisotropically etched in the SOI semiconductor structure to the silicide layer covering the SOI transistor source. A second hole aligned with the local interconnect hole is anisotropically etched through the SOI semiconductor structure to the predefined buried conduction layer. An insulator is disposed between the second hole and the intermediate conduction layer.
    Type: Grant
    Filed: August 22, 2002
    Date of Patent: December 30, 2003
    Assignee: International Business Machines Corporation
    Inventors: Todd Alan Christensen, John Edward Sheets, II, Gregory John Uhlmann
  • Patent number: 6667537
    Abstract: A semiconductor device may have an insulating layer comprising a silicon oxide film or the like formed so as to cover an entire upper surface of a semiconductor substrate. A resistance element comprising MoSix is formed on the insulating layer. An insulating film is provided on the surface of the semiconductor substrate above the insulating layer. A through-hole is provided in the insulating film located above the resistance element, and an electrode provided above the insulating film is electrically connected to the resistance element through this through-hole.
    Type: Grant
    Filed: October 26, 1998
    Date of Patent: December 23, 2003
    Assignee: Seiko Epson Corporation
    Inventors: Michio Koike, Yuji Oda
  • Patent number: 6664640
    Abstract: A semiconductor apparatus comprising: a semiconductor substrate; a first surface of the semiconductor substrate on which a semiconductor device is formed; a second surface opposite to the first surface of the semiconductor substrate; a via hole penetrating through the semiconductor substrate from the first surface to second surface; an electrode, provided on the second surface, connecting to the via hole; wherein the electrode having a barrier layer for preventing any diffusion of a soldering material into the via hole.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: December 16, 2003
    Assignee: NEC Compound Semiconductor Devices, Ltd.
    Inventor: Junko Kohno