Using Masks (epo) Patents (Class 257/E21.258)
  • Patent number: 11942447
    Abstract: The present disclosure describes a semiconductor structure having bonded wafers with storage layers and a method to bond wafers with storage layers. The semiconductor structure includes a first wafer including a first storage layer with carbon, a second wafer including a second storage layer with carbon, and a bonding layer interposed between the first and second wafers and in contact with the first and second storage layers.
    Type: Grant
    Filed: August 27, 2021
    Date of Patent: March 26, 2024
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: De-Yang Chiou, Fu-Ting Yen, Yu-Yun Peng, Keng-Chu Lin
  • Patent number: 11862491
    Abstract: An apparatus for treating a substrate includes a light treatment chamber having an interior space, a support unit that supports the substrate in the interior space, and an irradiation unit that irradiates light to the substrate in the interior space to remove organic matter remaining on the substrate, in which the irradiation unit includes a first light source that irradiates first light to the substrate and a second light source that irradiates, to the substrate, second light having a different wavelength range from the first light.
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: January 2, 2024
    Assignee: Semes Co., Ltd.
    Inventors: Dohyeon Yoon, Joo Jib Park, Jin Se Park
  • Patent number: 11854820
    Abstract: A method includes forming a first layer on a substrate; forming a first plurality of trenches in the first layer by a patterning process; and forming a second plurality of trenches in the first layer by another patterning process, resulting in combined trench patterns in the first layer. A first trench of the second plurality connects two trenches of the first plurality. The method further includes forming dielectric spacer features on sidewalls of the combined trench patterns. A space between two opposing sidewalls of the first trench is completely filled by the dielectric spacer features and another space between two opposing sidewalls of one of the two trenches is partially filled by the dielectric spacer features.
    Type: Grant
    Filed: May 22, 2020
    Date of Patent: December 26, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ru-Gun Liu, Cheng-Hsiung Tsai, Chung-Ju Lee, Chih-Ming Lai, Chia-Ying Lee, Jyu-Horng Shieh, Ken-Hsien Hsieh, Ming-Feng Shieh, Shau-Lin Shue, Shih-Ming Chang, Tien-I Bao, Tsai-Sheng Gau
  • Patent number: 11823989
    Abstract: A method includes etching a substrate to form an opening, depositing a first dielectric liner extending into the opening, and depositing a second dielectric liner over the first dielectric liner. The second dielectric liner extends into the opening. A conductive material is filled into the opening. The method further includes performing a first planarization process to planarize the conductive material so that a portion of the conductive material in the opening forms a through-via, performing a backside grinding process on the substrate until the through-via is revealed from a backside of the substrate, and forming a conductive feature on the backside of the substrate. The conductive feature is electrically connected to the through-via.
    Type: Grant
    Filed: December 28, 2020
    Date of Patent: November 21, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Ming-Fa Chen, Chin-Shyh Wang, Chao-Wen Shih
  • Patent number: 11588031
    Abstract: A semiconductor structure for a memory device includes a first gate structure and a second gate structure adjacent to the first gate structure. The second gate structure includes a first layer and a second layer, and the first layer is between the second layer and the first gate structure. The first layer and the second layer include a same semiconductor material and same dopants. The first layer has a first dopant concentration, and the second layer has a second dopant concentration different from the firs dopant concentration.
    Type: Grant
    Filed: December 30, 2019
    Date of Patent: February 21, 2023
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Szu-Yu Wang, Chia-Wei Hu
  • Patent number: 11569134
    Abstract: A semiconductor structure and a method for managing semiconductor wafer stress are disclosed. The semiconductor structure includes a semiconductor wafer, a first stress layer disposed on and in contact with a backside of the semiconductor wafer, and a second stress layer on and in contact with the first stress layer. The first stress layer exerts a first stress on the semiconductor wafer and the second layer exerts a second stress on the semiconductor wafer that is opposite the first backside stress. The method includes forming a first stress layer on and in contact with a backside of a semiconductor wafer, and further forming a second stress layer on and in contact with the first stress layer. The first stress layer exerts a first stress on the semiconductor wafer and the second stress layer exerts a second stress on the semiconductor wafer that is opposite to the first stress.
    Type: Grant
    Filed: April 14, 2020
    Date of Patent: January 31, 2023
    Assignee: International Business Machines Corporation
    Inventors: Nikhil Jain, Hsueh-Chung Chen, Mary Claire Silvestre, Hosadurga Shobha
  • Patent number: 11551952
    Abstract: A controller includes an opening degree control section configured to control a valve element opening degree of the valve main body based on a pressure measurement value of the vacuum chamber measured by a vacuum meter, and an estimation section configured to estimate measurement lag information of pressure measurement value with respect to a pressure of the vacuum chamber based on (a) an exhaust expression including a second-order derivative term of the pressure measurement value and indicating a relationship between an effective exhaust speed of a vacuum pumping system for the vacuum chamber and the pressure measurement value and (b) a pressure measurement value measured during a pressure response when the valve element opening degree is step-changed, and the opening degree control section controls the valve element opening degree based on the measurement lag information estimated by the estimation section.
    Type: Grant
    Filed: October 26, 2021
    Date of Patent: January 10, 2023
    Assignee: Shimadzu Corporation
    Inventor: Junichiro Kozaki
  • Patent number: 11495718
    Abstract: The present disclosure provides a driving substrate, a method for preparing the same, and a flexible display device. The driving substrate includes: a base substrate; a first driving function layer arranged on a first surface of the base substrate, the first driving function layer including a plurality of driving thin film transistors and a plurality of signal wirings, and at least one of the plurality of signal wirings being of a single-layer structure and having a thickness greater than a threshold; a pad layer arranged on a surface of the first driving function layer away from the base substrate, the pad layer including a plurality of first pads and a plurality of second pads, and each first pad being connected to a first electrode of the corresponding driving thin film transistor and each second pad being connected to a common electrode line in the plurality of signal wirings.
    Type: Grant
    Filed: May 13, 2020
    Date of Patent: November 8, 2022
    Assignee: Beijing Boe Technology Development Co., Ltd.
    Inventors: Zhanfeng Cao, Yingwei Liu, Ke Wang, Dongni Liu, Minghua Xuan, Guangcai Yuan, Lei Chen, Xue Dong
  • Patent number: 10985026
    Abstract: There is provided a method of processing a substrate, the method including: a production process of producing B(OH3) or B2O3 by bringing an oxidizing agent into contact with a boron-based film in a substrate in which the boron-based film is formed on a film including a silicon-based film; and a removal process of removing the boron-based film from the substrate by dissolving the B(OH3) or B2O3 produced in the production process in water.
    Type: Grant
    Filed: May 10, 2019
    Date of Patent: April 20, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Koji Kagawa
  • Patent number: 10515896
    Abstract: Methods and devices for forming a conductive line disposed over a substrate. A first dielectric layer is disposed over the substrate and coplanar with the conductive line. A second dielectric layer disposed over the conductive line and a third dielectric layer disposed over the first dielectric layer. A via extends through the second dielectric layer and is coupled to the conductive line. The second dielectric layer and the third dielectric layer are coplanar and the second and third dielectric layers have a different composition. In some embodiments, the second dielectric layer is selectively deposited on the conductive line.
    Type: Grant
    Filed: August 31, 2017
    Date of Patent: December 24, 2019
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chia-Ta Yu, Kai-Hsuan Lee, Yen-Ming Chen, Chi On Chui, Sai-Hooi Yeong
  • Patent number: 10410882
    Abstract: Apparatuses and methods for formation of a bond site including an opening with a discontinuous profile are disclosed herein. An example apparatus may at least include a substrate, a contact on the substrate, and a mask layer formed on the substrate and at least a portion of the contact. The mask layer may also include an opening formed therein, with the opening having a discontinuous profile from a top surface of the mask layer to the contact.
    Type: Grant
    Filed: December 19, 2017
    Date of Patent: September 10, 2019
    Assignee: Micron Technology, Inc.
    Inventors: Jaspreet S. Gandhi, Dale Arnold
  • Patent number: 10274942
    Abstract: A method for determining abnormal equipment in semiconductor manufacturing system includes processing wafers. A measurement data relating to wafers at respective processing steps and at each tool stack run count for respective tools is provided. The method also includes performing statistical and correlation analysis on the production history data and the measurement data to determine multiple parameters including bad ratio (Rb) and good ratio (Rg) for each tool. A first bad-to-good probability ratio (R1) for each tool is obtained by dividing Rb by Rg at the tool stack run count. A second bad-to-good probability ratio (R2) of each tool is an overall probability ratio of Rb to Rg of each tool. A first correlation coefficient (C1) is provided for the measurement data corresponding to the tool stack run count. A second correlation coefficient (C2) is provided for the first bad-to-good probability ratio (R1) corresponding to the tool stack run count.
    Type: Grant
    Filed: March 31, 2017
    Date of Patent: April 30, 2019
    Assignee: United Microelectronics Corp.
    Inventors: Liu-Lian Chen, Xian-Feng Du, Guo-Hai Zhang
  • Patent number: 10163679
    Abstract: Structures for shallow trench isolation regions and methods for forming shallow trench isolation regions. A trench is etched partially through a device layer of a silicon-on-insulator substrate. A section of the device layer at a bottom of the trench is thermally oxidized to form a shallow trench isolation region in the trench. During the thermal oxidation, another region of the device layer may be concurrently oxidized over a partial thickness and, after removal of the oxide from this device layer region, used as a thinned silicon body. Prior to the thermal oxidation process, this device layer region may be implanted with an oxidation-retarding species that decreases its oxidation rate in comparison with the oxidation rate of the section of the device layer used to form the shallow trench isolation region.
    Type: Grant
    Filed: May 31, 2017
    Date of Patent: December 25, 2018
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Siva P. Adusumilli, Steven M. Shank, Richard A. Phelps, Anthony K. Stamper
  • Patent number: 10109705
    Abstract: An example provides a semiconductor device including an insulator with a predetermined thickness between a well region of a semiconductor substrate and a resistor of polysilicon. The insulator has a structure that is able to withstand an ultrahigh voltage, and thereby allows the manufacture of a semiconductor device resistor that can bear an ultrahigh voltage without increasing the size of a semiconductor substrate and a semiconductor device including such a resistor. Other examples provide a method for manufacturing such a semiconductor device.
    Type: Grant
    Filed: November 18, 2015
    Date of Patent: October 23, 2018
    Assignee: Magnachip Semiconductor, Ltd.
    Inventors: Kwang Il Kim, Young Bae Kim
  • Patent number: 10103262
    Abstract: A method of forming a semiconductor structure includes the following operations: (i) forming a feature comprising germanium over a substrate; (ii) removing a portion of the feature such that an interior portion of the feature is exposed; (iii) exposing a surface of the exposed interior portion to a surrounding containing oxygen; and (iv) treating the germanium oxide on the surface of the exposed interior portion with a liquid containing water.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: October 16, 2018
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shih-Wei Hung, Chien-Feng Lin, Chia-Chiung Lo
  • Patent number: 10098236
    Abstract: Processes for masking electronic devices, including, but not limited to, electronic subassemblies, prior to the application of protective coatings to the electronic devices are disclosed. Such processes include the use of a plurality of different masking techniques in combination to mask the electronic device. Different masking techniques may be used to mask different features and/or components of the electronic device. Some features and/or components may be masked by way of two or more masking techniques. With one or more masks in place, an electronic device may be protectively coated. After a protective coating has been applied to the electronic device, at least a portion of the mask(s) may be removed from the electronic device. Protectively coated electronic devices may then be assembled with one another.
    Type: Grant
    Filed: August 26, 2015
    Date of Patent: October 9, 2018
    Assignee: HZO, INC.
    Inventors: Vimal Kumar Kasagani, Colin LaMar Loose, Tyler Christensen Child, Caleb Edward Kanavel, Heidi L. Popeck, Samuel R. Anderson, Cameron LaMar Loose, Xiaowei Shen
  • Patent number: 9825172
    Abstract: The disclosure relates generally to a metal-oxide-semiconductor field effect transistor (MOSFET) structures and methods of forming the same. The MOSFET structure includes at least one semiconductor body on a substrate; a dielectric cap on a top surface of the at least one semiconductor body, wherein a width of the at least one semiconductor body is less than a width of the dielectric cap; a gate dielectric layer conformally coating the at least one semiconductor body; and at least one electrically conductive gate on the gate dielectric layer.
    Type: Grant
    Filed: August 12, 2014
    Date of Patent: November 21, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Edward J. Nowak, Richard Q. Williams
  • Patent number: 9673198
    Abstract: A semiconductor device has active regions with different conductivity types. A substrate has a PMOS region and an NMOS region. A first active region is in the PMOS region. A second active region is in the NMOS region. A semiconductor layer is on the first active region. A first gate electrode crosses the first active region and extends on the semiconductor layer. A second gate electrode is on the second active region. An upper end of the first active region extends to a level lower than an upper end of the second active region. A lower end of the first active region extends to a level lower than a lower end of the second active region.
    Type: Grant
    Filed: April 9, 2015
    Date of Patent: June 6, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Juyoun Kim
  • Patent number: 9671557
    Abstract: A silicon photonics device of hybrid waveguides having a coupling interlayer with an accurately controlled thickness and a method of making the same. The device includes a first plurality of Si waveguides formed in a SOI substrate and a first layer of SiO2 overlying the first plurality of Si waveguides and a second plurality of Si3N4 waveguides formed on the first layer of SiO2. At least one Si3N4 waveguide is disposed partially overlapping with at least one of the first plurality Si waveguides in vertical direction separated by the first layer of SiO2 with a thickness controlled no greater than 90 nm. The device includes a second layer of SiO2 overlying the second plurality of Si3N4 waveguides. The method of accurately controlling the coupling interlayer SiO2 thickness includes a multilayer SiO2/Si3N4/SiO2 hard mask process for SiO2 etching and polishing as stopping and buffering layer as well as Si waveguide etching mask.
    Type: Grant
    Filed: March 4, 2016
    Date of Patent: June 6, 2017
    Assignee: INPHI CORPORATION
    Inventors: Liang Ding, Radhakrishnan L. Nagarajan
  • Patent number: 9583591
    Abstract: The present disclosure relates to a method of embedding an ESF3 memory in a HKMG integrated circuit that utilizes a replacement gate technology. The ESF3 memory is formed over a recessed substrate which prevents damage of the memory control gates during the CMP process performed on the ILD layer. An asymmetric isolation zone is also formed in the transition region between the memory cell and the periphery circuit boundary.
    Type: Grant
    Filed: March 14, 2014
    Date of Patent: February 28, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Harry-Hak-Lay Chuang, Wei Cheng Wu, Chin-Yi Huang, Shih-Chang Liu, Chang-Ming Wu
  • Patent number: 9034710
    Abstract: A method of forming a nonvolatile memory cell includes forming a first electrode and a second electrode of the memory cell. Sacrificial material is provided between the first second electrodes. The sacrificial material is exchanged with programmable material. The sacrificial material may additionally be exchanged with select device material.
    Type: Grant
    Filed: January 7, 2014
    Date of Patent: May 19, 2015
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu
  • Patent number: 9006107
    Abstract: A method for fabricating a patterned structure in a semiconductor device is provided. First, a substrate with a first region and a second region is provided. Then, a plurality of sacrificial patterns is respectively formed within the first region and the second region. A first spacer is then formed on the sidewalls of each of the sacrificial patterns followed by forming a mask layer to cover the sacrificial patterns located within the first region. Finally, the first spacer exposed from the mask layer is trimmed to be a second spacer and the mask layer is then removed.
    Type: Grant
    Filed: March 11, 2012
    Date of Patent: April 14, 2015
    Assignee: United Microelectronics Corp.
    Inventors: Chih-Jung Wang, Tong-Yu Chen
  • Patent number: 8946089
    Abstract: Methods of forming contact holes include forming a first guide pattern over an etching target layer. The first guide pattern has first openings each extending in a first direction and each first opening arranged in a direction perpendicular to the first direction. A first BCP structure is formed in each first opening. The first BCP structure includes first material layers in the first direction at a first pitch in each of the first openings, and second material layers filling a remaining portion of each first opening. First holes are formed by removing the first material layers. A second guide pattern is formed over the first guide pattern and the second material layers, and the above processes are performed on the second guide pattern to form second holes. Portions of the etching target layer overlapped by the first holes or the second holes are removed to form a desired pattern.
    Type: Grant
    Filed: December 17, 2013
    Date of Patent: February 3, 2015
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Eun-Sung Kim, Jae-Woo Nam, Chul-Ho Shin, Shi-Yong Yi
  • Patent number: 8906487
    Abstract: In a base material with a single-crystal silicon carbide film according to an embodiment of the invention, a plurality of recessed portions is formed on the surface of a silicon substrate, an insulating film including silicon oxide is formed across the surface of the silicon substrate including the inner surfaces of the recessed portions, the top surfaces of side wall portions of recessed portions of the insulating film form flat surfaces, a single-crystal silicon carbide film is joined on the flat surfaces, and the recessed portions below the single-crystal silicon carbide film form holes.
    Type: Grant
    Filed: June 30, 2011
    Date of Patent: December 9, 2014
    Assignee: Seiko Epson Corporation
    Inventor: Hiroyuki Shimada
  • Patent number: 8841218
    Abstract: A resist underlayer composition, including a solvent, and an organosilane condensation polymerization product of hydrolyzed products produced from a compound represented by Chemical Formula 1, a compound represented by Chemical Formula 2, and a compound represented by Chemical Formula 3.
    Type: Grant
    Filed: August 10, 2012
    Date of Patent: September 23, 2014
    Assignee: Cheil Industries, Inc.
    Inventors: Kwen-Woo Han, Mi-Young Kim, Woo-Jin Lee, Han-Song Lee, Seung-Hee Hong, Sang-Kyun Kim, Jin-Wook Lee
  • Publication number: 20140117529
    Abstract: Some embodiments include methods of forming electrically conductive lines. Photoresist features are formed over a substrate, with at least one of the photoresist features having a narrowed region. The photoresist features are trimmed, which punches through the narrowed region to form a gap. Spacers are formed along sidewalls of the photoresist features. Two of the spacers merge within the gap. The photoresist features are removed to leave a pattern comprising the spacers. The pattern is extended into the substrate to form a plurality of recesses within the substrate. Electrically conductive material is formed within the recesses to create the electrically conductive lines. Some embodiments include semiconductor constructions having a plurality of lines over a semiconductor substrate. Two of the lines are adjacent to one another and are substantially parallel to one another except in a region wherein said two of the lines merge into one another.
    Type: Application
    Filed: October 25, 2012
    Publication date: May 1, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Vishal Sipani, Kyle Armstrong, Michael D. Hyatt, Michael Dean Van Patten, David A. Kewley, Ming-Chuan Yang
  • Patent number: 8710682
    Abstract: The present invention provides polyimide polymer materials for passivating semiconductor wafers and methods for fabricating thereof. The present invention further provides a device that includes a semiconductor wafer and a passivating layer disposed on the surface of the wafer, wherein the passivating layer comprises such polyimide polymers.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: April 29, 2014
    Assignee: Designer Molecules Inc, Inc.
    Inventors: Stephen M Dershem, Farhad G Mizori, James T Huneke
  • Publication number: 20140097520
    Abstract: A method of forming an array of openings in a substrate. The method comprises forming a template structure comprising a plurality of parallel features and a plurality of additional parallel features perpendicularly intersecting the plurality of additional parallel features of the plurality over a substrate to define wells, each of the plurality of parallel features having substantially the same dimensions and relative spacing as each of the plurality of additional parallel features. A block copolymer material is formed in each of the wells. The block copolymer material is processed to form a patterned polymer material defining a pattern of openings. The pattern of openings is transferred to the substrate to form an array of openings in the substrate. A method of forming a semiconductor device structure, and a semiconductor device structure are also described.
    Type: Application
    Filed: October 5, 2012
    Publication date: April 10, 2014
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Dan B. Millward
  • Patent number: 8642474
    Abstract: Ultrafine dimensions are accurately and efficiently formed in a target layer using a spacer lithographic technique comprising forming a first mask pattern, forming a cross-linkable layer over the first mask pattern, forming a cross-linked spacer between the first mask pattern and cross-linkable layer, removing the cross-linkable layer, cross-linked spacer from the upper surface of the first mask pattern and the first mask pattern to form a second mask pattern comprising remaining portions of the cross-linked spacer, and etching using the second mask pattern to form an ultrafine pattern in the underlying target layer.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: February 4, 2014
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Ryoung-han Kim, Yunfei Deng, Thomas I. Wallow, Bruno La Fontaine
  • Patent number: 8637945
    Abstract: A component having a robust, but acoustically sensitive microphone structure is provided and a simple and cost-effective method for its production. This microphone structure includes an acoustically active diaphragm, which functions as deflectable electrode of a microphone capacitor, a stationary, acoustically permeable counter element, which functions as counter electrode of the microphone capacitor, and an arrangement for detecting and analyzing the capacitance changes of the microphone capacitor. The diaphragm is realized in a diaphragm layer above the semiconductor substrate of the component and covers a sound opening in the substrate rear. The counter element is developed in a further layer above the diaphragm. This further layer generally extends across the entire component surface and compensates level differences, so that the entire component surface is largely planar according to this additional layer.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: January 28, 2014
    Assignee: Robert Bosch GmbH
    Inventors: Frank Reichenbach, Thomas Buck, Jochen Zoellin, Franz Laermer, Ulrike Scholz, Kathrin van Teeffelen, Christina Leinenbach
  • Patent number: 8614148
    Abstract: A method may include forming first hard mask patterns and second hard mask patterns extending in a first direction and repeatedly and alternately arranged on a lower layer, forming third mask patterns extending in a second direction perpendicular to the first direction on the first and second hard mask patterns, etching the first hard mask patterns using the third mask patterns to form first openings, forming filling patterns filling the first openings and gap regions between the third mask patterns, forming spacers on both sidewalls of each of the filling patterns, after removing the third mask patterns, and etching the second hard mask patterns using the filling patterns and the spacers to form second openings.
    Type: Grant
    Filed: January 3, 2013
    Date of Patent: December 24, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Joon-Soo Park, Jongchul Park, Cheolhong Kim, Seokwoo Nam, Kukhan Yoon
  • Publication number: 20130328155
    Abstract: The disclosed aspects relate to controlling density of photomasks. One or more unprintable auxiliary patterns can be placed near a mask feature as well as onto a location of a feature of the main pattern. If a density is measured and is not within an acceptable density range, one or more printable auxiliary patterns can be replaced with unprintable auxiliary patterns and/or one or more unprintable auxiliary patterns can be replaced with printable auxiliary patterns. The disclosed aspects can be utilized to create a photomask and/or a semiconductor device, such as a large scale integrated circuit device, that comprises the photomask.
    Type: Application
    Filed: June 7, 2012
    Publication date: December 12, 2013
    Applicant: TOSHIBA AMERICA ELECTRONIC COMPONENTS, INC.
    Inventor: Kenji Konomi
  • Publication number: 20130260563
    Abstract: A method of forming a semiconductor device, and a product formed thereby, is provided. The method includes forming a pattern in a mask layer using, for example, double patterning or multi-patterning techniques. The mask is treated to smooth or round sharp corners. In an embodiment in which a positive pattern is formed in the mask, the treatment may comprise a plasma process or an isotropic wet etch. In an embodiment in which a negative pattern is formed in the mask, the treatment may comprise formation of conformal layer over the mask pattern. The conformal layer will have the effect of rounding the sharp corners. Other techniques may be used to smooth or round the corners of the mask.
    Type: Application
    Filed: March 29, 2012
    Publication date: October 3, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jiing-Feng Yang, Chii-Ping Chen, Dian-Hau Chen
  • Publication number: 20130234301
    Abstract: A method for fabricating a patterned structure in a semiconductor device is provided. First, a substrate with a first region and a second region is provided. Then, a plurality of sacrificial patterns is respectively formed within the first region and the second region. A first spacer is then formed on the sidewalls of each of the sacrificial patterns followed by forming a mask layer to cover the sacrificial patterns located within the first region. Finally, the first spacer exposed from the mask layer is trimmed to be a second spacer and the mask layer is then removed.
    Type: Application
    Filed: March 11, 2012
    Publication date: September 12, 2013
    Inventors: Chih-Jung Wang, Tong-Yu Chen
  • Patent number: 8492259
    Abstract: A method of forming metal gate structure includes providing a substrate; forming a gate dielectric layer, a material layer and a polysilicon layer stacked on the substrate; forming a first mask layer, a second mask layer and a patterned photoresist on the polysilicon layer; removing portions of the second mask layer and the first mask layer to form a hard mask by utilizing the patterned photoresist as an etching mask; removing the patterned photoresist, and next utilizing the hard mask as an etching mask to remove parts of the polysilicon layer and parts of the material layer. Thus, a gate stack is formed. Since the patterned photoresist is removed before forming the gate stack, the gate stack is protected from damages of the photoresist-removing process. The photoresist-removing process does not attack the sidewalls of the gate stack, so a bird's beak effect of the gate dielectric layer is prevent.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: July 23, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Che-Hua Hsu, Shao-Hua Hsu, Zhi-Cheng Lee, Cheng-Guo Chen
  • Patent number: 8476168
    Abstract: The present invention provides a method to form deep features in a stacked semiconductor structure. Deposition of a non-conformal hardmask onto a patterned topography can form a hardmask to protect all but recessed areas with minimal integration steps. The invention enables etching deep features, even through multiple BEOL layers, without multiple additional process steps.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: July 2, 2013
    Assignee: International Business Machines Corporation
    Inventors: Troy L. Graves-Abe, Mukta G Farooq
  • Publication number: 20130137270
    Abstract: A method for forming contact holes includes following steps. A substrate including a dense region and an isolation region is provided. A material layer is formed on the substrate. Sacrificed patterns are formed on the material layer in the dense region, wherein there is a first opening between the two adjacent sacrificed patterns. A spacer is formed on each of two sides of each of the sacrificed patterns, wherein the spacers are separated from each other. The sacrificed patterns are removed to form a second opening between two adjacent spacers. A planar layer is formed to fill up the second openings. A first slit is formed in the planar layer, wherein the first slit exposes a portion of the material layer under the second openings. The portion of the material layer exposed by the first slit is removed to form third openings in the material layer.
    Type: Application
    Filed: February 1, 2012
    Publication date: May 30, 2013
    Applicant: POWERCHIP TECHNOLOGY CORPORATION
    Inventors: Meng-Feng Tsai, Yi-Shiang Chang, Chia-Chi Lin, I-Hsin Chen, Chia-Ming Wu
  • Patent number: 8431458
    Abstract: A method of forming a nonvolatile memory cell includes forming a first electrode and a second electrode of the memory cell. Sacrificial material is provided between the first second electrodes. The sacrificial material is exchanged with programmable material. The sacrificial material may additionally be exchanged with select device material.
    Type: Grant
    Filed: December 27, 2010
    Date of Patent: April 30, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Scott E. Sills, Gurtej S. Sandhu
  • Publication number: 20130089986
    Abstract: A method of forming patterns of a semiconductor device may include forming a photoresist layer that includes a photo acid generator (PAG) and a photo base generator (PBG), generating an acid from the PAG in a first exposed portion of the photoresist layer by first-exposing the photoresist layer, and generating a base from the PBG in a second exposed portion of the photoresist layer by second-exposing a part of the first exposed portion and neutralizing the acid. The method may also include baking the photoresist layer after the first and second-exposing and deblocking the photoresist layer of the first exposed portion in which the acid is generated to form a deblocked photoresist layer, and forming a photoresist pattern by removing the deblocked photoresist layer by using a developer.
    Type: Application
    Filed: September 10, 2012
    Publication date: April 11, 2013
    Inventors: Jeong-ju PARK, Kyoung-mi KIM, Min-jung KIM, Dong-jun LEE, Boo-deuk KIM
  • Patent number: 8415812
    Abstract: The present invention provides polyimide polymer materials for passivating semiconductor wafers and methods for fabricating thereof. The present invention further provides a device that includes a semiconductor wafer and a passivating layer disposed on the surface of the wafer, wherein the passivating layer comprises such polyimide polymers.
    Type: Grant
    Filed: September 2, 2010
    Date of Patent: April 9, 2013
    Assignee: Designer Molecules, Inc.
    Inventors: Stephen M Dershem, Farhad G Mizori, James T Huneke
  • Publication number: 20130052831
    Abstract: A method of patterning a hard mask layer for defining a deep trench is described. A substrate formed with an isolation structure therein is provided. A hard mask layer is formed over the substrate provided. A patterned photoresist layer is formed over the hard mask layer, having therein a deep-trench opening pattern over the isolation structure. An etching gas not containing hydrogen is used to etch the hard mask layer with the patterned photoresist layer as a mask and thereby transfer the deep-trench opening pattern to the hard mask layer.
    Type: Application
    Filed: August 25, 2011
    Publication date: February 28, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Sheng-Jie Hsu, Shin-He Siao
  • Publication number: 20130045607
    Abstract: According to one embodiment, a pattern generating apparatus includes a light intensity calculating part that calculates light intensity at a pattern to be formed based on exposure and light intensity at the periphery of the pattern, a light intensity evaluating part that evaluates the light intensities at the pattern and the periphery of the pattern, and a data output part that outputs correction data for the pattern based on the results of the evaluation by the light intensity part.
    Type: Application
    Filed: March 16, 2012
    Publication date: February 21, 2013
    Inventors: Ai INOUE, Takashi Nakazawa, Takashi Obara, Kazuyuki Masukawa, Takaki Hashimoto
  • Publication number: 20130037921
    Abstract: A resist underlayer composition, including a solvent, and an organosilane condensation polymerization product of hydrolyzed products produced from a compound represented by Chemical Formula 1, a compound represented by Chemical Formula 2, and a compound represented by Chemical Formula 3.
    Type: Application
    Filed: August 10, 2012
    Publication date: February 14, 2013
    Inventors: Kwen-Woo HAN, Mi-Young KIM, Woo-Jin LEE, Han-Song LEE, Seung-Hee HONG, Sang-Kyun KIM, Jin-Wook LEE
  • Patent number: 8372708
    Abstract: This invention discloses a semiconductor power device. The trenched semiconductor power device includes a trenched gate, opened from a top surface of a semiconductor substrate, surrounded by a source region encompassed in a body region near the top surface above a drain region disposed on a bottom surface of a substrate. The semiconductor power device further includes an implanting-ion block disposed above the top surface on a mesa area next to the body region having a thickness substantially larger than 0.3 micron for blocking body implanting ions and source ions from entering into the substrate under the mesa area whereby masks for manufacturing the semiconductor power device can be reduced.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: February 12, 2013
    Inventors: Anup Bhalla, François Hébert, Sung-Shan Tai, Sik K Lui
  • Patent number: 8349741
    Abstract: Embodiments described herein relate to materials and processes for patterning and etching features in a semiconductor substrate. In one embodiment, a method of forming a composite amorphous carbon layer is provided. The method comprises positioning a substrate in a process chamber, introducing a hydrocarbon source gas into the process chamber, introducing a diluent source gas into the process chamber, introducing a plasma-initiating gas into the process chamber, generating a plasma in the process chamber, forming an amorphous carbon initiation layer on the substrate, wherein the hydrocarbon source gas has a volumetric flow rate to diluent source gas flow rate ratio of 1:12 or less, and forming a bulk amorphous carbon layer on the amorphous carbon initiation layer, wherein a hydrocarbon source gas used to form the bulk amorphous carbon layer has a volumetric flow rate to a diluent source gas flow rate of 1:6 or greater.
    Type: Grant
    Filed: April 25, 2012
    Date of Patent: January 8, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Hang Yu, Deenesh Padhi, Man-Ping Cai, Naomi Yoshida, Li Yan Miao, Siu F. Cheng, Shahid Shaikh, Sohyun Park, Heung Lak Park, Bok Hoen Kim
  • Publication number: 20120244714
    Abstract: An exposure mask includes: an insulative substrate; a light reflecting film provided on the substrate; a light absorbing film provided on the light reflecting film and forming a pattern in a center region on the substrate; and an interconnect provided on the substrate, the light reflecting film and the light absorbing film not being provided in a frame-shaped region surrounding the center region, and the interconnect being placed so that a portion of a laminated film composed of the light reflecting film and the light absorbing film located inside the frame-shaped region is electrically connected to a portion of the laminated film located outside the frame-shaped region.
    Type: Application
    Filed: June 8, 2012
    Publication date: September 27, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Masamitsu Itoh
  • Publication number: 20120238096
    Abstract: An EUV integrated circuit fabrication method and system EUV that includes blank inspection, defect characterization, simulation, pattern compensation, modification of the mask writer database, inspection and simulation of patterned masks, and patterned mask repair. The system performs blank inspection to identify defects at multiple focal planes within the blank. The mask can be relocated on the blank and alterations to the pattern can be developed to compensate for the defects prior to prior to patterning the mask. Once the mask has been patterned, the reticle is inspected to identify any additional or remaining defects that were not picked up during blank inspection or fully mitigated through pattern compensation. The patterned reticle can then be repaired prior to integrated circuit fabrication.
    Type: Application
    Filed: March 12, 2012
    Publication date: September 20, 2012
    Applicant: KLA-TENCOR CORPORATION
    Inventors: Yalin Xiong, Stanley E. Stokowski
  • Publication number: 20120235247
    Abstract: A method of forming an integrated circuit (IC) includes forming a first and second plurality of spacers on a substrate, wherein the substrate includes a silicon layer, and wherein the first plurality of spacers have a thickness that is different from a thickness of the second plurality of spacers; and etching the silicon layer in the substrate using the first and second plurality of spacers as a mask, wherein the etched silicon layer forms a first plurality and a second plurality of fin field effect transistor (FINFET) channel regions, and wherein the first plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the first plurality of spacers, and wherein the second plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the second plurality of spacers.
    Type: Application
    Filed: March 17, 2011
    Publication date: September 20, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ming Cai, Dechao Guo, Chung-hsun Lin, Chun-chen Yeh
  • Publication number: 20120220132
    Abstract: A semiconductor device manufacturing method includes: forming a core layer, an anti-reflection film and a photoresist layer on a layer to be etched of a substrate; trimming first line patterns of the photoresist layer; forming a first film on the first line patterns; removing the first film such that the first film is left in sidewall portions of the first line patterns of the photoresist layer; removing the photoresist layer; producing the core layer into second line patterns by etching the anti-reflection film and the core layer; forming a second film on the core layer produced into the second line patterns; removing the second film such that the second film is left in sidewall portions of the second line patterns of the core layer; and producing the layer to be etched into third line patterns by etching the layer to be etched.
    Type: Application
    Filed: February 23, 2012
    Publication date: August 30, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenichi OYAMA, Shohei YAMAUCHI, Hidetami YAEGASHI
  • Publication number: 20120220133
    Abstract: A method for fabricating an integrated circuit includes the steps of: providing a substrate having a semiconductor surface; providing a hardmask material on the semiconductor surface. For at least one masking level of the integrated circuit: providing a mask pattern for the masking level partitioned into a first mask and at least one second mask, the first mask providing features in a first grid pattern and the at least one second mask providing features in a second grid pattern, wherein the first and the second grid pattern have respective features which interleave with one another over at least one area; applying a first photoresist layer with the first mask; exposing the first grid pattern using the first mask; developing the first photoresist layer; etching the hardmask material to transfer the first grid pattern in the surface of the substrate; removing the first photoresist layer.
    Type: Application
    Filed: April 16, 2012
    Publication date: August 30, 2012
    Applicant: Texas Instruments Incorporated
    Inventors: Thomas J. Aton, Donald Plumton